From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: from mail05.groups.io (mail05.groups.io [45.79.224.7]) by spool.mail.gandi.net (Postfix) with ESMTPS id 4DE85AC0022 for ; Thu, 25 Apr 2024 00:17:21 +0000 (UTC) DKIM-Signature: a=rsa-sha256; bh=MDwI4ocClh+nchXvF5K3ZWPCqY33W4Wv1xsi2vuIImI=; c=relaxed/simple; d=groups.io; h=From:To:CC:Subject:Thread-Topic:Thread-Index:Date:Message-ID:References:In-Reply-To:Accept-Language:msip_labels:MIME-Version:Precedence:List-Subscribe:List-Help:Sender:List-Id:Mailing-List:Delivered-To:Resent-Date:Resent-From:Reply-To:List-Unsubscribe-Post:List-Unsubscribe:Content-Language:Content-Type; s=20240206; t=1714004240; v=1; b=Gwy195sxWW6sgg8vgiwxejnJfhQREpYQwzRHSq84zDi8w1Ey1oyuQtA16rsUoWcJaL8L20hh J3CRnHeiQ3eys5521tAEaunyONqu1mMcsjrTkTs0pbmb+TjkQlDAQ2CeHZtgkPDgT6BS+1ruB5h 07YVCGZ4WdD1tXx9kBb3Wi44UuVzRDO536Ksid2OiKlm2aBgcC9+HwaKxTZrNkpO00eEkdbxAww b3WpdpurpJfRD59y6l0T2uKOrYulnNkWM833RWu60wHTlYlrP7r4SVZHZQGSHORjNZVA5t0xDHE F7pwjVHXREolxURhp29fJzWMVF7DzKjFD7TmP1SSTnX1A== X-Received: by 127.0.0.2 with SMTP id 6CnGYY7687511xnnCchxfdBE; Wed, 24 Apr 2024 17:17:20 -0700 X-Received: from DM5PR21CU001.outbound.protection.outlook.com (DM5PR21CU001.outbound.protection.outlook.com [52.101.61.23]) by mx.groups.io with SMTP id smtpd.web10.22313.1713887495696027619 for ; Tue, 23 Apr 2024 08:51:35 -0700 X-Received: from DM5PR21MB1752.namprd21.prod.outlook.com (2603:10b6:4:9e::28) by BYAPR21MB1320.namprd21.prod.outlook.com (2603:10b6:a03:115::10) with Microsoft SMTP Server (version=TLS1_2, cipher=TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384) id 15.20.7544.8; Tue, 23 Apr 2024 15:51:31 +0000 X-Received: from DM5PR21MB1752.namprd21.prod.outlook.com ([fe80::d0dd:cdf2:c4b1:fa13]) by DM5PR21MB1752.namprd21.prod.outlook.com ([fe80::d0dd:cdf2:c4b1:fa13%7]) with mapi id 15.20.7544.007; Tue, 23 Apr 2024 15:51:31 +0000 From: "Giri Mudusuru via groups.io" To: "'Nong, Foster'" , "devel@edk2.groups.io" , "Kinney, Michael D" , 'Chris Li' , "gaoliming@byosoft.com.cn" CC: "Ni, Ray" , Giri Mudusuru Subject: =?UTF-8?B?UmU6IOWbnuWkjTogW2VkazItZGV2ZWxdIFtQQVRDSCB2MV0gTWRlUGtnOiBBZGQgQ3hsMzAuaCBpbnRvIEluZHVzdHJ5U3RhbmRhcmQ=?= Thread-Topic: =?gb2312?B?W0VYVEVSTkFMXSC72Li0OiBbZWRrMi1kZXZlbF0gW1BBVENIIHYxXSBNZGVQ?= =?gb2312?Q?kg:_Add_Cxl30.h_into_IndustryStandard?= Thread-Index: AQGQLw+Fwmn+BQtTNUAEf7U9KYfPWgEZxS7xAuKhD5QB+hcLBwKjH7QnscXVd6CAACtjVQ== Date: Tue, 23 Apr 2024 15:51:30 +0000 Message-ID: References: <20231129065729.8259-1-foster.nong@intel.com> <00f101da327b$ea268490$be738db0$@byosoft.com.cn> <01bf01da9580$4bfe7210$e3fb5630$@byosoft.com.cn> In-Reply-To: <01bf01da9580$4bfe7210$e3fb5630$@byosoft.com.cn> Accept-Language: en-US X-MS-Has-Attach: X-MS-TNEF-Correlator: msip_labels: MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_Enabled=True;MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_SiteId=72f988bf-86f1-41af-91ab-2d7cd011db47;MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_SetDate=2024-04-23T15:51:30.332Z;MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_Name=General;MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_ContentBits=0;MSIP_Label_f42aa342-8706-4288-bd11-ebb85995028c_Method=Standard; x-ms-publictraffictype: Email x-ms-traffictypediagnostic: DM5PR21MB1752:EE_|BYAPR21MB1320:EE_ x-ms-office365-filtering-correlation-id: 18234129-0024-47c3-0957-08dc63ad3e90 x-ld-processed: 72f988bf-86f1-41af-91ab-2d7cd011db47,ExtAddr x-ms-exchange-senderadcheck: 1 x-ms-exchange-antispam-relay: 0 x-microsoft-antispam-message-info: =?gb2312?B?bkc4eG51QSt6aC94VFFONUZKTTFIMHZUQnBjYkxWUTNZTDJ4bkZ5WVI3NjdY?= =?gb2312?B?Qlk4a1EzQ0x0S1c4R1NSeDFwL2E4OWluMktuTlRoUm1NVmZ5ejBqUFFGWitY?= =?gb2312?B?WnhRaHpHN2NWWGFzNEsyRnBsYUp1ZHV4c0NObE5iUG0zRUpaOFQzOEpwRzdu?= =?gb2312?B?YWtTZXRNUU5nZEkxZU44a1lWbDJyejdVanc5L2FkYk02c3ZMYk52bDM1eXFq?= =?gb2312?B?UnFoY2daNDNXaUh1UkV6S1d4M0NqR1Uwb09ERVc3WUp0MUVMMEFwczVoN1lj?= =?gb2312?B?TWhPUldmU0hmUHFBcFA5MGExTGNxQVJsS3hBWTM4V0NmdVdRa0JDZHlGZy83?= =?gb2312?B?dEc5RTV0NkJXV1docktRK0N6emlQSDA2Q0s5OEdPYlZHR3ZLSEVvZjk2SjBz?= =?gb2312?B?L0xYZ1BoNWpZL0JGTjk5b1hjZXArSWZFS0x0TlNpTUI0VGVHVzBLUFA5SkY5?= =?gb2312?B?SFkwS3REeHdITWJPcjdvMWpjQ0xRS2RmckRrMlpXMFhydTFwaVdHN0UyQncy?= =?gb2312?B?bmNkclJvM2swUkt0LytLbkdKcGJ2L0ZjZXJyYjlJRkJobHJrVzNjaStlT2xV?= =?gb2312?B?ZFpmenNYaCtISlYyazFmOEI5cTR4dW9tR1ZMNEIxdGJJMzZ4WFgrRWJBL20x?= =?gb2312?B?eXA0TE50WFZiWk92dGhWUHR5MUlyYmtXanRsNnBUWE56THFGMWRGU1AwWW9U?= =?gb2312?B?OHhsdUx4OThTSUxDS3JqVndESmJ1dEoxbHpvemdtQ20zakwvL2V1NEhqTmVD?= =?gb2312?B?NXowSDFTZTBXUmh3RHpPalhkMTRVREFBSVI0TWtTSWVyQk80V2NSejlOZU5C?= =?gb2312?B?T0R1eUxBUVI3eWxEQjZkUlJYdWVyNDl1YTdaczlXMW55SlRpT0FtTDBhUlk4?= =?gb2312?B?VmVnYmlMeS9FWFpsNDhYKzJNWkZoNWZIQnJkUkJmWlltUnN4Szk4QTRBL0dt?= =?gb2312?B?eGd3T0hvejBNMm9uenlCZDB0U0FZdmxUWDZkSmpkQmtaRE5BYTQyWS90RHRW?= =?gb2312?B?ZEh4RUhaaDBsbUJITGpyaHFWTXdwTjBwbSsvTDZPaGthU01sT2RIQVhGRExq?= =?gb2312?B?SzJKZGxHTVlaemNvZ08vTzl0blA2RWw4TW04SmtHYVkvenlhcjA3NDZscEZl?= =?gb2312?B?RVBuOVN0MDdXYjNmV2IwaWlEM2tEeDhzT0lIMXR6amtOUHQrcnNkaTlhTmgx?= =?gb2312?B?RzNGd2ltU0JLOXdDUXRFQ0VMcTBMSGdkUGVPUDZpOFptdERMY1YrM3ZzREt3?= =?gb2312?B?a1ltZVphTGkzaWhJbnpZS21vdmo3OEFUUDF5WDY1QnZMbmg1ZGZWbUQzL3Fz?= =?gb2312?B?eFZRcTc1S0lRZ0pmRFNlaHdaUEp0TklxanBSSTBKM1RiK2xLYWZlMC8vOElv?= =?gb2312?B?OUNPcEt2NW9mZDZpVUZkK2dRTUJOZlUxbkRuenlnalZGQitDZ0NVdGtzRm1q?= =?gb2312?B?dmJmTFZETUF5TCtSN3lmcnZOcnA1RUs5MFRnMWVBbXBOVnlLYW5DQnBJUWRi?= =?gb2312?B?dW9xMUNET3B1Y3h5WmZPTC9vWjN6cTUzQ3pmS3VZOUYwSWxxcUlhQ3dsTDdO?= =?gb2312?B?ekJyWTRBTDg3OUN3R1VxT1F2WjZpYjhpRmF0QnlIQjdJcEtSUUQ1U3hnb1lU?= =?gb2312?B?V3pIWVB3TmJBUnJPNzYwYXZBTzkwZlliNktvSTJzVHJ0WDMxOTl0c1FzTy9W?= =?gb2312?B?Z3lhVUZDMnpmT3BPYzdpVm95NWMrcUhhZlVkSFJHVHdJV29WSVFQYVp3PT0=?= x-ms-exchange-antispam-messagedata-chunkcount: 1 x-ms-exchange-antispam-messagedata-0: =?gb2312?B?NEIwUm91cHAvTGxKNGp1T0xldDh2eXBKbVE1VFJxdHJrc2tOWVVUaVFWTVZC?= =?gb2312?B?NXhZVTBDUnQ1ZFUzZFdqemVES3RBVU9veG1sSVFRdlpGRXNOVkE2Ykd5Z2s1?= =?gb2312?B?d1RkTndaSUpmNlg3c1c5MlNnb0ZQaXhSZXdCdXk4RHRlSzkwTUM4SEI1ZG5B?= =?gb2312?B?TzF4MVk0N2ZiWnpWUVNLamhzZjNTak8wd0FMZ0dNNUdzZGo1RVFQTUdFcGwx?= =?gb2312?B?QUowa1VRTy9wc0RMUU9JVDR0dmlGcU9NL0lZQitLMXZyWXhHWTNKUnd3cVIy?= =?gb2312?B?aVgvWUNCVVY2cGFqaTdab28wbjdqNTF4U0tEdWJ6UWJrVGFQajZPekZOcWVM?= =?gb2312?B?Tkk5Z3lyTys5UjN4aDgxSFlyUzJLNFM2cnRFRjJGTUxsQzBxa1BNalJETitW?= =?gb2312?B?L1B4aGI5bnVudG9GbEpJVDZRVlN3TzlUbDZzOGVTZWZjWGo3anlDRW1nRUFw?= =?gb2312?B?emFjQjcrcWNNTy9JSXB6aHlMNThjV2J2WWxzMFlTOENrNDlaamQ0dStOMnly?= =?gb2312?B?Yzhja21MdmdORnJOYTVQcWlVT0FKekxST1Q2VUQyK3p2K3BjSGd5WFdsYUVE?= =?gb2312?B?OUdCbUYvcFVqemNZd0hxVUxmUlFmL2RjK0svN3BzNFhPajN1dVYzY0ZaSkpW?= =?gb2312?B?aXJ3RTI0TFRMWW56RWVlclJ5QjFQRmcwaXZmU0tZVjhCUjNodzE3a01PWThF?= =?gb2312?B?YXUzNTV4OUVML3BWaEpMNGg0TlVETDBldzh0QUp2VUs2UXdTRFVvcHI1SStr?= =?gb2312?B?Z3ZaRHFKWHJYeXBVaHQvQUovbUV6d3JuUU9hNGxDWmRqYkJZQmdJOTJObVFy?= =?gb2312?B?Vmx5dWZsbGxuTXF1cnRFZ0U2ZWRQRThPOFpxZk44VWRDUjBhd3F1UTg2a1BI?= =?gb2312?B?TGdBcENxaFM0dEtLL0ZHQStRVlYzMGFpNUVUd3pOWWF3NEhFRFRaYmFVVWgz?= =?gb2312?B?YmNMM2liVm5WSjcwMHo0NXpGai81ZTRiNERWRTVwRWlicURqYWtrcDFVSk8y?= =?gb2312?B?bUFlT3FiZE9hbXlmY2VCbzdzVnhJeDh1b2FwY2NYckt0aTVubDU1UWNDbHNt?= =?gb2312?B?TnlCamhEaXpFUE9BRTJBQXNRMGxCYlpDNExoR3I5OHo2blhuYUxTLzgybDBv?= =?gb2312?B?RUJodmZXWTVkZTFjTmc0TlR4UEtYbFFSbnVoaE85VVVvdXNGN2ZRd09tWENQ?= =?gb2312?B?NXNUZEhsZUZQd0s5VDBvTXJKbm1maDB1ZjJqZEo0Rlg0bFR1djV4MzFCdHZG?= =?gb2312?B?RFVhdnF5T1VXclhFNzlET0tOcHdsZ1ZTckZ5aGJvOFdMUDB6OUJHNUxTaDlD?= =?gb2312?B?K005SlhUT0ZvekdpNndJcnUxbVJZSEVpcFB1TVFwR0ZzK2dGSDhvTFdGM1kz?= =?gb2312?B?K2dxL0NrK0U0eHN0cWFXL2VXbE1QVDVNVHhiK3Y3THFCbXVhUEszOTV5MXNw?= =?gb2312?B?Wmthc1R2VmNiejErV1Nqa3Urc2IxVkczMnVNdjdzM2xNNXJWb1dYTjdCbE02?= =?gb2312?B?L08vdXoyMSs3eXZjSDRxanV6UkNzZlVzeWd3TS9kVjFsNFV3R0VjeDd4L2RP?= =?gb2312?B?dlBZeGFJT1M3VW5lazdBR1dPOGU1R2ZQS0xmK1crVnpmN0gremVKODRLSTNO?= =?gb2312?B?dVhYTG8wZXM0ZFJ6NStMT0xvaFVNbFhjQ0I1UGRadVFlckdLeFMwTS83b0Jl?= =?gb2312?B?S3BtWGlxZTM5UllhQ2RDa0hFK3FCNytDMHhsQnFnaTFoY29aLzA1MnVLTlNG?= =?gb2312?B?MWhkUWlNWktsaHBMd3R0RU1jTVdDRHpHNlQ5WW1xaXBDQVJUV2ZpekZQWXFR?= =?gb2312?B?NFFPcitRRkFRZlc5K2Z1WUYzSW9GTmp6MmhNYUk1Z3I5VXBkWVRpNzhVdlRP?= =?gb2312?B?VElhbE1lQlBpUThVQUZBMHRvaEExbVRvcTdHSE1ZS3ZBdldWZUVFMExoYlcv?= =?gb2312?B?U2ZxSngvNG4rMzRSY1M5MERXQWNRdmNkYmNhb01pTktVdmpMVDVBNXBJdkZN?= =?gb2312?B?b0RzQk91MVNXZWRQUUlhWGhoVHdFS1I1TGdiY2x1QzEzS2k3YTVZdVBsWmFj?= =?gb2312?B?ZWhESlYrMFNkbFQrTVRONEovaXBTV2RidEF4cUYyV1pvaXkrcVprT1NGNTBn?= =?gb2312?Q?P3CRB6V213yr0P1VSYV3ViPlR?= MIME-Version: 1.0 X-OriginatorOrg: microsoft.com X-MS-Exchange-CrossTenant-AuthAs: Internal X-MS-Exchange-CrossTenant-AuthSource: DM5PR21MB1752.namprd21.prod.outlook.com X-MS-Exchange-CrossTenant-Network-Message-Id: 18234129-0024-47c3-0957-08dc63ad3e90 X-MS-Exchange-CrossTenant-originalarrivaltime: 23 Apr 2024 15:51:30.9820 (UTC) X-MS-Exchange-CrossTenant-fromentityheader: Hosted X-MS-Exchange-CrossTenant-id: 72f988bf-86f1-41af-91ab-2d7cd011db47 X-MS-Exchange-CrossTenant-mailboxtype: HOSTED X-MS-Exchange-CrossTenant-userprincipalname: uSj/L+xQk6sU0sp2SnlaX328Up9t4FhSWRiFeZJu8WB3rCLuNnYbhoiRYuCNUCUPCkMw40I35kd9KYbQ/taCm2bl1TAxlT44Y624WnqKOkI= X-MS-Exchange-Transport-CrossTenantHeadersStamped: BYAPR21MB1320 Precedence: Bulk List-Subscribe: List-Help: Sender: devel@edk2.groups.io List-Id: Mailing-List: list devel@edk2.groups.io; contact devel+owner@edk2.groups.io Resent-Date: Wed, 24 Apr 2024 17:17:19 -0700 Resent-From: GIRIMUDUSURU@microsoft.com Reply-To: devel@edk2.groups.io,GIRIMUDUSURU@microsoft.com List-Unsubscribe-Post: List-Unsubscribe=One-Click List-Unsubscribe: X-Gm-Message-State: fg65ZFwEFbeffrrIjiElXeh0x7686176AA= Content-Language: en-US Content-Type: multipart/alternative; boundary="_000_DM5PR21MB1752602424A0DE04BCBA0C32DC112DM5PR21MB1752namp_" X-GND-Status: LEGIT Authentication-Results: spool.mail.gandi.net; dkim=pass header.d=groups.io header.s=20240206 header.b=Gwy195sx; dmarc=pass (policy=none) header.from=groups.io; spf=pass (spool.mail.gandi.net: domain of bounce@groups.io designates 45.79.224.7 as permitted sender) smtp.mailfrom=bounce@groups.io --_000_DM5PR21MB1752602424A0DE04BCBA0C32DC112DM5PR21MB1752namp_ Content-Type: text/plain; charset="gb2312" Content-Transfer-Encoding: base64 UmV2aWV3ZWQtQnk6IEdpcmkgTXVkdXN1cnUgPGdpcmltdWR1c3VydUBtaWNyb3NvZnQuY29tPg0K X19fX19fX19fX19fX19fX19fX19fX19fX19fX19fX18NCkZyb206IGRldmVsQGVkazIuZ3JvdXBz LmlvIDxkZXZlbEBlZGsyLmdyb3Vwcy5pbz4gb24gYmVoYWxmIG9mIGdhb2xpbWluZyB2aWEgZ3Jv dXBzLmlvIDxnYW9saW1pbmc9Ynlvc29mdC5jb20uY25AZ3JvdXBzLmlvPg0KU2VudDogVHVlc2Rh eSwgQXByaWwgMjMsIDIwMjQgNjoxNSBBTQ0KVG86ICdOb25nLCBGb3N0ZXInIDxmb3N0ZXIubm9u Z0BpbnRlbC5jb20+OyBkZXZlbEBlZGsyLmdyb3Vwcy5pbyA8ZGV2ZWxAZWRrMi5ncm91cHMuaW8+ OyBLaW5uZXksIE1pY2hhZWwgRCA8bWljaGFlbC5kLmtpbm5leUBpbnRlbC5jb20+OyAnQ2hyaXMg TGknIDxjaHJpc2xpQG9zLmFtcGVyZWNvbXB1dGluZy5jb20+DQpDYzogTmksIFJheSA8cmF5Lm5p QGludGVsLmNvbT4NClN1YmplY3Q6IFtFWFRFUk5BTF0gu9i4tDogW2VkazItZGV2ZWxdIFtQQVRD SCB2MV0gTWRlUGtnOiBBZGQgQ3hsMzAuaCBpbnRvIEluZHVzdHJ5U3RhbmRhcmQNCg0KW1lvdSBk b24ndCBvZnRlbiBnZXQgZW1haWwgZnJvbSBnYW9saW1pbmc9Ynlvc29mdC5jb20uY25AZ3JvdXBz LmlvLiBMZWFybiB3aHkgdGhpcyBpcyBpbXBvcnRhbnQgYXQgaHR0cHM6Ly9ha2EubXMvTGVhcm5B Ym91dFNlbmRlcklkZW50aWZpY2F0aW9uIF0NCg0KU2VlbWx5LCB0aGVyZSBpcyBubyBvdGhlciBj b21tZW50cy4gSSBjcmVhdGUgUFIgaHR0cHM6Ly9uYW0wNi5zYWZlbGlua3MucHJvdGVjdGlvbi5v dXRsb29rLmNvbS8/dXJsPWh0dHBzJTNBJTJGJTJGZ2l0aHViLmNvbSUyRnRpYW5vY29yZSUyRmVk azIlMkZwdWxsJTJGNTU4NSZkYXRhPTA1JTdDMDIlN0NHSVJJTVVEVVNVUlUlNDBtaWNyb3NvZnQu Y29tJTdDY2E1N2QzNWU1ODE2NDQ2NWNlYmYwOGRjNjM5Nzc2MTYlN0M3MmY5ODhiZjg2ZjE0MWFm OTFhYjJkN2NkMDExZGI0NyU3QzElN0MwJTdDNjM4NDk0NzQ5MzkzNzM4MjY2JTdDVW5rbm93biU3 Q1RXRnBiR1pzYjNkOGV5SldJam9pTUM0d0xqQXdNREFpTENKUUlqb2lWMmx1TXpJaUxDSkJUaUk2 SWsxaGFXd2lMQ0pYVkNJNk1uMCUzRCU3QzAlN0MlN0MlN0Mmc2RhdGE9bzMwOFFoaWttWFB3clNG UXBsSEp2Y0VvcGR4MHhqNkxqT05zMWFCNjYyWSUzRCZyZXNlcnZlZD0wPGh0dHBzOi8vZ2l0aHVi LmNvbS90aWFub2NvcmUvZWRrMi9wdWxsLzU1ODU+IHRvIG1lcmdlIGl0Lg0KDQpUaGFua3MNCkxp bWluZw0KPiAtLS0tLdPKvP7Urbz+LS0tLS0NCj4gt6K8/sjLOiBOb25nLCBGb3N0ZXIgPGZvc3Rl ci5ub25nQGludGVsLmNvbT4NCj4gt6LLzcqxvOQ6IDIwMjTE6jTUwjIzyNUgMTg6MDcNCj4gytW8 /sjLOiBnYW9saW1pbmcgPGdhb2xpbWluZ0BieW9zb2Z0LmNvbS5jbj47IGRldmVsQGVkazIuZ3Jv dXBzLmlvOw0KPiBLaW5uZXksIE1pY2hhZWwgRCA8bWljaGFlbC5kLmtpbm5leUBpbnRlbC5jb20+ OyAnQ2hyaXMgTGknDQo+IDxjaHJpc2xpQG9zLmFtcGVyZWNvbXB1dGluZy5jb20+DQo+ILOty806 IE5pLCBSYXkgPHJheS5uaUBpbnRlbC5jb20+DQo+INb3zOI6IFJFOiBbZWRrMi1kZXZlbF0gW1BB VENIIHYxXSBNZGVQa2c6IEFkZCBDeGwzMC5oIGludG8NCj4gSW5kdXN0cnlTdGFuZGFyZA0KPg0K PiBIaSBMaW1pbmcsDQo+DQo+IFdoYXQgaXMgdGhlIHBhdGNoIHJldmlldyBzdGF0dXM/IENhbiB0 aGlzIHBhdGNoIGJlIG1lcmdlZD8NCj4NCj4gLS0tLS1PcmlnaW5hbCBNZXNzYWdlLS0tLS0NCj4g RnJvbTogTm9uZywgRm9zdGVyDQo+IFNlbnQ6IFdlZG5lc2RheSwgRGVjZW1iZXIgMjcsIDIwMjMg MjowNSBQTQ0KPiBUbzogZ2FvbGltaW5nIDxnYW9saW1pbmdAYnlvc29mdC5jb20uY24+OyBkZXZl bEBlZGsyLmdyb3Vwcy5pbzsgS2lubmV5LA0KPiBNaWNoYWVsIEQgPG1pY2hhZWwuZC5raW5uZXlA aW50ZWwuY29tPjsgJ0NocmlzIExpJw0KPiA8Y2hyaXNsaUBvcy5hbXBlcmVjb21wdXRpbmcuY29t Pg0KPiBDYzogTmksIFJheSA8cmF5Lm5pQGludGVsLmNvbT4NCj4gU3ViamVjdDogUkU6IFtlZGsy LWRldmVsXSBbUEFUQ0ggdjFdIE1kZVBrZzogQWRkIEN4bDMwLmggaW50bw0KPiBJbmR1c3RyeVN0 YW5kYXJkDQo+DQo+IFRoYW5rcyBMaW1pbmchDQo+IEAnQ2hyaXMgTGknIGFuZCBAS2lubmV5LCBN aWNoYWVsIEQsIHBsZWFzZSBoZWxwIGNvbW1lbnQgdGhlIHBhdGNoLiBUaGFua3MhDQo+DQo+IC0t LS0tT3JpZ2luYWwgTWVzc2FnZS0tLS0tDQo+IEZyb206IGdhb2xpbWluZyA8Z2FvbGltaW5nQGJ5 b3NvZnQuY29tLmNuPg0KPiBTZW50OiBUdWVzZGF5LCBEZWNlbWJlciAxOSwgMjAyMyA5OjA1IFBN DQo+IFRvOiBkZXZlbEBlZGsyLmdyb3Vwcy5pbzsgTm9uZywgRm9zdGVyIDxmb3N0ZXIubm9uZ0Bp bnRlbC5jb20+OyBLaW5uZXksDQo+IE1pY2hhZWwgRCA8bWljaGFlbC5kLmtpbm5leUBpbnRlbC5j b20+OyAnQ2hyaXMgTGknDQo+IDxjaHJpc2xpQG9zLmFtcGVyZWNvbXB1dGluZy5jb20+DQo+IENj OiBOaSwgUmF5IDxyYXkubmlAaW50ZWwuY29tPg0KPiBTdWJqZWN0OiC72Li0OiBbZWRrMi1kZXZl bF0gW1BBVENIIHYxXSBNZGVQa2c6IEFkZCBDeGwzMC5oIGludG8NCj4gSW5kdXN0cnlTdGFuZGFy ZA0KPg0KPiBGb3N0ZXI6DQo+ICAgSSBoYXZlIG5vIGNvbW1lbnRzIGZvciB0aGlzIHBhdGNoLiBB Y2tlZC1ieTogTGltaW5nIEdhbw0KPiA8Z2FvbGltaW5nQGJ5b3NvZnQuY29tLmNuPg0KPg0KPiBU aGFua3MNCj4gTGltaW5nDQo+ID4gLS0tLS3Tyrz+1K28/i0tLS0tDQo+ID4gt6K8/sjLOiBkZXZl bEBlZGsyLmdyb3Vwcy5pbyA8ZGV2ZWxAZWRrMi5ncm91cHMuaW8+ILT6se0gTm9uZywNCj4gRm9z dGVyDQo+ID4gt6LLzcqxvOQ6IDIwMjPE6jEy1MIxOcjVIDE4OjE3DQo+ID4gytW8/sjLOiBkZXZl bEBlZGsyLmdyb3Vwcy5pbzsgS2lubmV5LCBNaWNoYWVsIEQNCj4gPiA8bWljaGFlbC5kLmtpbm5l eUBpbnRlbC5jb20+OyBHYW8sIExpbWluZyA8Z2FvbGltaW5nQGJ5b3NvZnQuY29tLmNuPjsNCj4g PiBDaHJpcyBMaSA8Y2hyaXNsaUBvcy5hbXBlcmVjb21wdXRpbmcuY29tPg0KPiA+ILOty806IE5p LCBSYXkgPHJheS5uaUBpbnRlbC5jb20+DQo+ID4g1vfM4jogUmU6IFtlZGsyLWRldmVsXSBbUEFU Q0ggdjFdIE1kZVBrZzogQWRkIEN4bDMwLmggaW50bw0KPiA+IEluZHVzdHJ5U3RhbmRhcmQNCj4g Pg0KPiA+IEBHYW8sIExpbWluZyBAQ2hyaXMgTGkgQEtpbm5leSwgTWljaGFlbCBELA0KPiA+DQo+ ID4gRG9uJ3QgZm9yZ2V0IHJldmlld2luZyB0aGUgcGF0Y2guIFRoYW5rcyENCj4gPg0KPiA+IC0t LS0tT3JpZ2luYWwgTWVzc2FnZS0tLS0tDQo+ID4gRnJvbTogTm9uZywgRm9zdGVyIDxmb3N0ZXIu bm9uZ0BpbnRlbC5jb20+DQo+ID4gU2VudDogV2VkbmVzZGF5LCBOb3ZlbWJlciAyOSwgMjAyMyAy OjU3IFBNDQo+ID4gVG86IGRldmVsQGVkazIuZ3JvdXBzLmlvDQo+ID4gQ2M6IE5vbmcsIEZvc3Rl ciA8Zm9zdGVyLm5vbmdAaW50ZWwuY29tPjsgS2lubmV5LCBNaWNoYWVsIEQNCj4gPiA8bWljaGFl bC5kLmtpbm5leUBpbnRlbC5jb20+OyBHYW8sIExpbWluZyA8Z2FvbGltaW5nQGJ5b3NvZnQuY29t LmNuPjsNCj4gPiBOaSwgUmF5IDxyYXkubmlAaW50ZWwuY29tPjsgQ2hyaXMgTGkgPGNocmlzbGlA b3MuYW1wZXJlY29tcHV0aW5nLmNvbT4NCj4gPiBTdWJqZWN0OiBbUEFUQ0ggdjFdIE1kZVBrZzog QWRkIEN4bDMwLmggaW50byBJbmR1c3RyeVN0YW5kYXJkDQo+ID4NCj4gPiBSRUY6IGh0dHBzOi8v bmFtMDYuc2FmZWxpbmtzLnByb3RlY3Rpb24ub3V0bG9vay5jb20vP3VybD1odHRwcyUzQSUyRiUy RmJ1Z3ppbGxhLnRpYW5vY29yZS5vcmclMkZzaG93X2J1Zy5jZ2klM0ZpZCUzRDQ1MTYmZGF0YT0w NSU3QzAyJTdDR0lSSU1VRFVTVVJVJTQwbWljcm9zb2Z0LmNvbSU3Q2NhNTdkMzVlNTgxNjQ0NjVj ZWJmMDhkYzYzOTc3NjE2JTdDNzJmOTg4YmY4NmYxNDFhZjkxYWIyZDdjZDAxMWRiNDclN0MxJTdD MCU3QzYzODQ5NDc0OTM5Mzc0NzEzMyU3Q1Vua25vd24lN0NUV0ZwYkdac2IzZDhleUpXSWpvaU1D NHdMakF3TURBaUxDSlFJam9pVjJsdU16SWlMQ0pCVGlJNklrMWhhV3dpTENKWFZDSTZNbjAlM0Ql N0MwJTdDJTdDJTdDJnNkYXRhPUJoTUlsYnVEYlU2RGMxUmhIdDY2NUdnOTUwV0tlZUJXOCUyRnBt a3NYcWdJcyUzRCZyZXNlcnZlZD0wPGh0dHBzOi8vYnVnemlsbGEudGlhbm9jb3JlLm9yZy9zaG93 X2J1Zy5jZ2k/aWQ9NDUxNj4NCj4gPg0KPiA+IDEpIEFkZCBDWEwgMy4wIGhlYWRlciBmaWxlIHRv IGNvbXBseSB3aXRoIENYTCAzLjAgc3BlY2lmaWNhdGlvbg0KPiA+IDIpIENYTCAzLjAgaGVhZGVy IHdpbGwgZW1iZWQgQ3hsMjAuaA0KPiA+IDMpIFVwZGF0ZWQgQ3hsLmggdG8gcG9pbnQgdG8gMy4w IGhlYWRlciBmaWxlDQo+ID4NCj4gPiBTaWduZWQtb2ZmLWJ5OiBGb3N0ZXIgTm9uZyA8Zm9zdGVy Lm5vbmdAaW50ZWwuY29tPg0KPiA+IENjOiBNaWNoYWVsIEQgS2lubmV5IDxtaWNoYWVsLmQua2lu bmV5QGludGVsLmNvbT4NCj4gPiBDYzogTGltaW5nIEdhbyA8Z2FvbGltaW5nQGJ5b3NvZnQuY29t LmNuPg0KPiA+IENjOiBSYXkgTmkgPHJheS5uaUBpbnRlbC5jb20+DQo+ID4gQ2M6IENocmlzIExp IDxjaHJpc2xpQG9zLmFtcGVyZWNvbXB1dGluZy5jb20+DQo+ID4gLS0tDQo+ID4gIE1kZVBrZy9J bmNsdWRlL0luZHVzdHJ5U3RhbmRhcmQvQ3hsLmggICB8ICAgMiArLQ0KPiA+ICBNZGVQa2cvSW5j bHVkZS9JbmR1c3RyeVN0YW5kYXJkL0N4bDMwLmggfCAzMTUNCj4gKysrKysrKysrKysrKysrKysr KysNCj4gPiAgMiBmaWxlcyBjaGFuZ2VkLCAzMTYgaW5zZXJ0aW9ucygrKSwgMSBkZWxldGlvbigt KQ0KPiA+DQo+ID4gZGlmZiAtLWdpdCBhL01kZVBrZy9JbmNsdWRlL0luZHVzdHJ5U3RhbmRhcmQv Q3hsLmgNCj4gPiBiL01kZVBrZy9JbmNsdWRlL0luZHVzdHJ5U3RhbmRhcmQvQ3hsLmgNCj4gPiBp bmRleCA5YWQzMjQyZTI1Li5jYjYyM2EzNTVkIDEwMDc1NQ0KPiA+IC0tLSBhL01kZVBrZy9JbmNs dWRlL0luZHVzdHJ5U3RhbmRhcmQvQ3hsLmgNCj4gPiArKysgYi9NZGVQa2cvSW5jbHVkZS9JbmR1 c3RyeVN0YW5kYXJkL0N4bC5oDQo+ID4gQEAgLTEyLDcgKzEyLDcgQEAgU1BEWC1MaWNlbnNlLUlk ZW50aWZpZXI6IEJTRC0yLUNsYXVzZS1QYXRlbnQgI2lmbmRlZg0KPiA+IF9DWExfTUFJTl9IXyAj ZGVmaW5lIF9DWExfTUFJTl9IXyAtI2luY2x1ZGUNCj4gPiA8SW5kdXN0cnlTdGFuZGFyZC9DeGwy MC5oPisjaW5jbHVkZSA8SW5kdXN0cnlTdGFuZGFyZC9DeGwzMC5oPiAvLyAvLw0KPiA+IENYTCBh c3NpZ25lZCBuZXcgVmVuZG9yIElEIC8vZGlmZiAtLWdpdA0KPiA+IGEvTWRlUGtnL0luY2x1ZGUv SW5kdXN0cnlTdGFuZGFyZC9DeGwzMC5oDQo+ID4gYi9NZGVQa2cvSW5jbHVkZS9JbmR1c3RyeVN0 YW5kYXJkL0N4bDMwLmgNCj4gPiBuZXcgZmlsZSBtb2RlIDEwMDY0NA0KPiA+IGluZGV4IDAwMDAw MDAwMDAuLmZlYjZiOWM1MmYNCj4gPiAtLS0gL2Rldi9udWxsDQo+ID4gKysrIGIvTWRlUGtnL0lu Y2x1ZGUvSW5kdXN0cnlTdGFuZGFyZC9DeGwzMC5oDQo+ID4gQEAgLTAsMCArMSwzMTUgQEANCj4g PiArLyoqIEBmaWxlKyAgQ1hMIDMuMCBSZWdpc3RlciBkZWZpbml0aW9ucysrICBUaGlzIGZpbGUg Y29udGFpbnMgdGhlDQo+IHJlZ2lzdGVyDQo+ID4gZGVmaW5pdGlvbnMgYmFzZWQgb24gdGhlIENv bXB1dGUgRXhwcmVzcyBMaW5rKyAgKENYTCkgU3BlY2lmaWNhdGlvbg0KPiBSZXZpc2lvbg0KPiA+ IDMuMC4rKyAgQ29weXJpZ2h0IChjKSAyMDIzLCBJbnRlbCBDb3Jwb3JhdGlvbi4gQWxsIHJpZ2h0 cw0KPiA+IHJlc2VydmVkLjxCUj4rKw0KPiA+IFNQRFgtTGljZW5zZS1JZGVudGlmaWVyOiBCU0Qt Mi1DbGF1c2UtUGF0ZW50KysqKi8rI2lmbmRlZg0KPiA+IENYTDMwX0hfKyNkZWZpbmUgQ1hMMzBf SF8rKyNpbmNsdWRlDQo+IDxJbmR1c3RyeVN0YW5kYXJkL0N4bDIwLmg+KysvLysvLw0KPiA+IENY TCBDYWNoZSBNZW1vcnkgQ2FwYWJpbGl0eSBJRHMrLy8gQ29tcHV0ZSBFeHByZXNzIExpbmsgU3Bl Y2lmaWNhdGlvbg0KPiA+IFJldmlzaW9uIDMuMCAtIENoYXB0ZXIgOC4yLjQgVGFibGUgOC0yMisv LysjZGVmaW5lDQo+ID4gQ1hMX0NBQ0hFX01FTV9DQVBBQklMSVRZX0lEX1RJTUVPVVRfQU5EX0lT T0xBVElPTg0KPiA+IDB4MDAwOSsjZGVmaW5lIENYTF9DQUNIRV9NRU1fQ0FQQUJJTElUWV9JRF9F WFRFTkRFRA0KPiA+IDB4MDAwQSsjZGVmaW5lIENYTF9DQUNIRV9NRU1fQ0FQQUJJTElUWV9JRF9C SV9ST1VURV9UQUJMRQ0KPiA+IDB4MDAwQisjZGVmaW5lIENYTF9DQUNIRV9NRU1fQ0FQQUJJTElU WV9JRF9CSV9ERUNPREVSDQo+ID4gMHgwMDBDKyNkZWZpbmUNCj4gPiBDWExfQ0FDSEVfTUVNX0NB UEFCSUxJVFlfSURfQ0FDSEVfSURfUk9VVEVfVEFCTEUNCj4gPiAweDAwMEQrI2RlZmluZSBDWExf Q0FDSEVfTUVNX0NBUEFCSUxJVFlfSURfQ0FDSEVfSURfREVDT0RFUg0KPiA+IDB4MDAwRSsjZGVm aW5lDQo+ID4gQ1hMX0NBQ0hFX01FTV9DQVBBQklMSVRZX0lEX0VYVEVOREVEX0hETV9ERUNPREVS DQo+ID4gMHgwMDBGKysvLysvLyBDWExfQ2FwYWJpbGl0eV9WZXJzaW9uKy8vIENvbXB1dGUgRXhw cmVzcyBpbmsNCj4gPiAweDAwMEYrK1NwZWNpZmljYXRpb24NCj4gPiBSZXZpc2lvbiAzLjAgLSBD aGFwdGVyIDguMi40LjUrLy8rI2RlZmluZQ0KPiBDWExfSERNX0RFQ09ERVJfVkVSU0lPTl8zMA0K PiA+IDB4MysrLy8rLy8gQ1hMIENYTCBIRE0gRGVjb2RlciBuIENvbnRyb2wrLy8gQ29tcHV0ZSBF eHByZXNzIExpbmsNCj4gPiBTcGVjaWZpY2F0aW9uIFJldmlzaW9uIDMuMCAtIDguMi40LjE5Ljcr Ly8rLy8rLy8gQml0NC4uNzogSW50ZXJsZWF2ZQ0KPiA+IFdheXMgKElXKSsvLysjZGVmaW5lIENY TF9IRE1fMTZfV0FZX0lOVEVSTEVBVklORw0KPiA+IDB4NCsjZGVmaW5lIENYTF9IRE1fM19XQVlf SU5URVJMRUFWSU5HDQo+ID4gMHg4KyNkZWZpbmUgQ1hMX0hETV82X1dBWV9JTlRFUkxFQVZJTkcN Cj4gPiAweDkrI2RlZmluZSBDWExfSERNXzEyX1dBWV9JTlRFUkxFQVZJTkcNCj4gPiAweEErKy8v Ky8vIEVuc3VyZSBwcm9wZXIgc3RydWN0dXJlIGZvcm1hdHMrLy8rI3ByYWdtYSBwYWNrKDEpKysv LysvLw0KPiA+IENYTC5jYWNoZW1lbSBFeHRlbmRlZCBSZWdpc3RlciBDYXBhYmlsaXR5Ky8vIENv bXB1dGUgRXhwcmVzcyBMaW5rDQo+ID4gU3BlY2lmaWNhdGlvbiBSZXZpc2lvbiAzLjAgIC0gQ2hh cHRlciA4LjIuNC4yNCsvLyt0eXBlZGVmIHVuaW9uIHsrDQo+ID4gc3RydWN0DQo+IHsrDQo+ID4g VUlOVDMyICAgICAgICBFeHRlbmRlZFJhbmdlc0JpdG1hcCA6IDE2OyAgLy8gQml0IDAuLjE1KyAg ICBVSU5UMzINCj4gPiBSZXNlcnZlZCAgICAgICAgICAgICA6IDE2OyAgLy8gQml0IDE2Li4zMSsg IH0gQml0czsrICBVSU5UMzINCj4gPiBVaW50MzI7K30gQ1hMX0NNX0VYVEVOVEVEX1JFR0lTVEVS X0NBUEFCSUxJVFk7KysjZGVmaW5lDQo+ID4gQ1hMX0NNX0VYVEVOVEVEX1JBTkdFU19CSVRNQVAg ICAgKEJJVDIgfCBCSVQzIHwgQklUNCB8IEJJVDUgfA0KPiBCSVQ2DQo+ID4gfCBCSVQ3IHwgQklU OCB8IEJJVDkgfCBCSVQxMCB8IEJJVDExIHwgQklUMTIgfCBCSVQxMyB8IEJJVDE1KSsrLy8rLy8N Cj4gPiB8IENYTA0KPiBCSQ0KPiA+IFJvdXRlIFRhYmxlIENhcGFiaWxpdHkrLy8gQ29tcHV0ZSBF eHByZXNzIExpbmsgU3BlY2lmaWNhdGlvbiBSZXZpc2lvbg0KPiA+IDMuMA0KPiAtDQo+ID4gQ2hh cHRlciA4LjIuNC4yNSsvLyt0eXBlZGVmIHVuaW9uIHsrICBzdHJ1Y3QgeysgICAgVUlOVDMyDQo+ ID4gRXhwbGljaXRCaVJ0Q29tbWl0UmVxdWlyZWQgICAgICAgICAgICAgICAgICAgIDoxOyAgLy8g Yml0IDArDQo+ID4gVUlOVDMyICBSZXNlcnZlZCAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgOjMxOyAvLw0KPiBiaXQNCj4gPiAxLi4zMSsgIH0gQml0czsrICBVSU5UMzIgICAg VWludDMyOyt9IENYTF9CSV9SVF9DQVBBQklMSVRZOysrdHlwZWRlZg0KPiA+IHVuaW9uIHsrICBz dHJ1Y3QgeysgICAgVUlOVDMyDQo+ID4gQmlSdENvbW1pdCAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgIDoxOyAgIC8vIGJpdCAwKw0KPiA+IFVJTlQzMiAgUmVzZXJ2ZWQgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIDozMTsgIC8vDQo+ID4gYml0IDEuLjMxKyAg fSBCaXRzOysgIFVJTlQzMiAgICBVaW50MzI7K30NCj4gQ1hMX0JJX1JUX0NPTlRST0w7Kyt0eXBl ZGVmDQo+ID4gdW5pb24geysgIHN0cnVjdCB7KyAgICBVSU5UMzINCj4gPiBCaVJ0Q29tbWl0dGVk ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgOjE7ICAvLyBiaXQgMCsNCj4gPiBVSU5U MzIgIEJpUnRFcnJvck5vdENvbW1pdHRlZCAgICAgICAgICAgICAgICAgICAgICAgICA6MTsgIC8v IGJpdA0KPiA+IDErICAgIFVJTlQzMg0KPiBSZXNlcnZlZDEgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgOjY7DQo+ID4gLy8gYml0IDIuLjcrICAgIFVJTlQzMg0KPiA+IEJpUnRD b21taXRUaW1lb3V0U2NhbGUgICAgICAgICAgICAgICAgICAgICAgICA6NDsgIC8vIGJpdCA4Li4x MSsNCj4gPiBVSU5UMzIgIEJpUnRDb21taXRUaW1lb3V0QmFzZSAgICAgICAgICAgICAgICAgICAg ICAgICA6NDsgIC8vDQo+IGJpdA0KPiA+IDEyLi4xNSsgICAgVUlOVDMyDQo+ID4gUmVzZXJ2ZWQy ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIDoxNjsgLy8gYml0DQo+IDE2Li4z MSsgIH0NCj4gPiBCaXRzOysgIFVJTlQzMiAgICBVaW50MzI7K30gQ1hMX0JJX1JUX1NUQVRVUzsr K3R5cGVkZWYgc3RydWN0IHsrDQo+ID4gQ1hMX0JJX1JUX0NBUEFCSUxJVFkNCj4gQmlSdENhcDsN Cj4gPiAvLyBvZmZzZXQgMHgwMCsgIENYTF9CSV9SVF9DT05UUk9MDQo+ID4gQmlSdENvbnRyb2w7 ICAgLy8gb2Zmc2V0IDB4MDQrICBDWExfQklfUlRfU1RBVFVTDQo+ID4gQmlSdFN0YXR1czsgICAg Ly8gb2Zmc2V0IDB4MDgrfQ0KPiBDWExfQklfUk9VVEVfVEFCTEVfQ0FQQUJJTElUWTsrKy8vKy8v DQo+ID4gQ1hMIEJJIERlY29kZXIgQ2FwYWJpbGl0eSsvLyBDb21wdXRlIEV4cHJlc3MgTGluayBT cGVjaWZpY2F0aW9uDQo+ID4gUmV2aXNpb24NCj4gMy4wDQo+ID4gLSBDaGFwdGVyIDguMi40LjI2 Ky8vK3R5cGVkZWYgdW5pb24geysgIHN0cnVjdCB7KyAgICBVSU5UMzINCj4gPiBIZG1EQ2FwYWJs ZSAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgOjE7ICAvLyBiaXQgMCsNCj4gPiBV SU5UMzIgIEV4cGxpY2l0QmlEZWNvZGVyQ29tbWl0UmVxdWlyZWQgICAgICAgICAgICAgICA6MTsg IC8vIGJpdA0KPiAxKw0KPiA+IFVJTlQzMiAgUmVzZXJ2ZWQgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgIDozMDsgLy8NCj4gYml0DQo+ID4gMi4uMzErICB9IEJpdHM7KyAgVUlO VDMyICAgIFVpbnQzMjsrfSBDWExfQklfREVDT0RFUl9DQVA7Kyt0eXBlZGVmDQo+ID4gdW5pb24g eysgIHN0cnVjdCB7KyAgICBVSU5UMzINCj4gPiBCaUZvcndhcmQgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgOjE7ICAvLyBiaXQgMCsNCj4gPiBVSU5UMzIgIEJpRW5hYmxlICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICA6MTsgIC8vDQo+IGJpdA0KPiA+IDEr ICAgIFVJTlQzMg0KPiBCaURlY29kZXJDb21taXQgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgOjE7DQo+ID4gLy8gYml0IDIrICAgIFVJTlQzMg0KPiA+IFJlc2VydmVkICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICA6Mjk7IC8vIGJpdA0KPiAzLi4zMSsgIH0NCj4gPiBC aXRzOysgIFVJTlQzMiAgICBVaW50MzI7K30gQ1hMX0JJX0RFQ09ERVJfQ09OVFJPTDsrK3R5cGVk ZWYNCj4gdW5pb24NCj4gPiB7KyAgc3RydWN0IHsrICAgIFVJTlQzMg0KPiA+IEJpRGVjb2RlckNv bW1pdHRlZCAgICAgICAgICAgICAgICAgICAgICAgICAgICA6MTsgIC8vIGJpdCAwKw0KPiA+IFVJ TlQzMiAgQmlEZWNvZGVyRXJyb3JOb3RDb21taXR0ZWQgICAgICAgICAgICAgICAgICAgIDoxOyAg Ly8gYml0DQo+ID4gMSsgICAgVUlOVDMyDQo+IFJlc2VydmVkMSAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICA6NjsNCj4gPiAvLyBiaXQgMi4uNysgICAgVUlOVDMyDQo+ID4gQmlE ZWNvZGVyQ29tbWl0VGltZW91dFNjYWxlICAgICAgICAgICAgICAgICAgIDo0OyAgLy8gYml0IDgu LjExKw0KPiA+IFVJTlQzMiAgQmlEZWNvZGVyQ29tbWl0VGltZW91dEJhc2UgICAgICAgICAgICAg ICAgICAgIDo0OyAgLy8NCj4gYml0DQo+ID4gMTIuLjE1KyAgICBVSU5UMzINCj4gPiBSZXNlcnZl ZDIgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgOjE2OyAvLyBiaXQNCj4gMTYu LjMxKyAgfQ0KPiA+IEJpdHM7KyAgVUlOVDMyICAgIFVpbnQzMjsrfSBDWExfQklfREVDT0RFUl9T VEFUVVM7Kyt0eXBlZGVmIHN0cnVjdA0KPiB7Kw0KPiA+IENYTF9CSV9ERUNPREVSX0NBUCAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgIEJpRGVjb2RlckNhcDsNCj4gPiAvLyBvZmZzZXQgMHgw MCsgIENYTF9CSV9ERUNPREVSX0NPTlRST0wNCj4gPiBCaURlY29kZXJDb250cm9sOyAgICAgICAg IC8vIG9mZnNldCAweDA0KyAgQ1hMX0JJX0RFQ09ERVJfU1RBVFVTDQo+ID4gQmlEZWNvZGVyU3Rh dHVzOyAgICAgICAgICAvLyBvZmZzZXQgMHgwOCt9DQo+ID4gQ1hMX0JJX0RFQ09ERVJfQ0FQQUJJ TElUWTsrKy8vKy8vIENYTCBDYWNoZSBJRCBSb3V0ZSBUYWJsZQ0KPiA+IENhcGFiaWxpdHkrLy8g Q29tcHV0ZSBFeHByZXNzIExpbmsgU3BlY2lmaWNhdGlvbiBSZXZpc2lvbiAzLjAgIC0NCj4gPiBD YXBhYmlsaXR5K0NoYXB0ZXINCj4gPiA4LjIuNC4yNysvLyt0eXBlZGVmIHVuaW9uIHsrICBzdHJ1 Y3QgeysgICAgVUlOVDMyDQo+ID4gQ2FjaGVJZFRhcmdldENvdW50ICAgICAgICAgICAgICAgICAg IDogNTsgICAvLyBCaXQgMC4uNCsgICAgVUlOVDMyDQo+ID4gUmVzZXJ2ZWQxICAgICAgICAgICAg ICAgICAgICAgICAgICAgIDogMzsgICAvLyBCaXQgNS4uNysgICAgVUlOVDMyDQo+ID4gSGRtRFR5 cGUyRGV2aWNlTWF4Q291bnQgICAgICAgICAgICAgIDogNDsgICAvLyBCaXQgOC4uMTErDQo+ID4g VUlOVDMyICAgICAgICBSZXNlcnZlZDIgICAgICAgICAgICAgICAgICAgICAgICAgICAgOiA0OyAg IC8vIEJpdA0KPiA+IDEyLi4xNSsgICAgVUlOVDMyICAgICAgICBFeHBsaWNpdENhY2hlSWRSdENv bW1pdFJlcXVpcmVkICAgICAgOiAxOw0KPiA+IC8vIEJpdCAxNisgICAgVUlOVDMyDQo+IFJlc2Vy dmVkMyAgICAgICAgICAgICAgICAgICAgICAgICAgICA6DQo+ID4gMTU7ICAvLyBCaXQgMTc6MzEr ICB9IEJpdHM7KyAgVUlOVDMyICAgICAgICAgIFVpbnQzMjsrfQ0KPiA+IENYTF9DQUNIRV9JRF9S VF9DQVBBQklMSVRZOysrdHlwZWRlZiB1bmlvbiB7KyAgc3RydWN0IHsrICAgIFVJTlQzMg0KPiA+ IENhY2hlSWRSdENvbW1pdCAgICAgICAgICAgICA6IDE7ICAgLy8gQml0IDArICAgIFVJTlQzMg0K PiA+IFJlc2VydmVkICAgICAgICAgICAgICAgICAgICA6IDMxOyAgLy8gQml0IDEuLjMxKyAgfSBC aXRzOysgIFVJTlQzMg0KPiA+IFVpbnQzMjsrfSBDWExfQ0FDSEVfSURfUlRfQ09OVFJPTDsrK3R5 cGVkZWYgdW5pb24geysgIHN0cnVjdCB7Kw0KPiA+IFVJTlQzMiAgICAgICAgQ2FjaGVJZFJ0Q29t bWl0dGVkICAgICAgICAgIDogMTsgICAvLyBCaXQgMCsNCj4gPiBVSU5UMzIgICAgICAgIENhY2hl SWRSdEVyck5vdENvbW1pdHRlZCAgICA6IDE7ICAgLy8gQml0IDErDQo+ID4gVUlOVDMyICAgICAg ICBSZXNlcnZlZDEgICAgICAgICAgICAgICAgICAgOiA2OyAgIC8vIEJpdCAyLi43Kw0KPiA+IFVJ TlQzMiAgICAgICAgQ2FjaGVJZFJ0Q29tbWl0VGltZW91dFNjYWxlIDogNDsgICAvLyBCaXQgOC4u MTErDQo+ID4gVUlOVDMyICAgICAgICBDYWNoZUlkUnRDb21taXRUaW1lb3V0QmFzZSAgOiA0OyAg IC8vIEJpdCAxMi4uMTUrDQo+ID4gVUlOVDMyICAgICAgICBSZXNlcnZlZDIgICAgICAgICAgICAg ICAgICAgOiAxNjsgIC8vIEJpdCAxNi4uMzErICB9DQo+ID4gQml0czsrICBVSU5UMzIgICAgICAg ICAgVWludDMyOyt9DQo+IENYTF9DQUNIRV9JRF9SVF9TVEFUVVM7Kyt0eXBlZGVmDQo+ID4gdW5p b24geysgIHN0cnVjdCB7KyAgICBVSU5UMTYNCj4gVmFsaWQgICAgICAgICAgICAgICAgICAgICAg IDoNCj4gPiAxOyAgLy8gQml0IDArICAgIFVJTlQxNiAgICAgICAgUmVzZXJ2ZWQgICAgICAgICAg ICAgICAgICAgIDogNzsNCj4gLy8NCj4gPiBCaXQgMS4uNysgICAgVUlOVDE2ICAgICAgICBQb3J0 TnVtYmVyICAgICAgICAgICAgICAgICAgOiA4OyAgLy8NCj4gQml0DQo+ID4gOC4uMTUrICB9IEJp dHM7KyAgVUlOVDE2ICAgICAgICAgIFVpbnQxNjsrfQ0KPiA+IENYTF9DQUNIRV9JRF9SVF9UQVJH RVQ7Kyt0eXBlZGVmIHN0cnVjdCB7Kw0KPiA+IENYTF9DQUNIRV9JRF9SVF9DQVBBQklMSVRZICAg ICAgICAgIENhY2hlSWRSdENhcDsgICAgICAgICAvLw0KPiA+IG9mZnNldCAweDAwKyAgQ1hMX0NB Q0hFX0lEX1JUX0NPTlRST0wNCj4gPiBDYWNoZUlkUnRDb250cm9sOyAgICAgLy8gb2Zmc2V0IDB4 MDQrICBDWExfQ0FDSEVfSURfUlRfU1RBVFVTDQo+ID4gQ2FjaGVJZFJ0U3RhdHVzOyAgICAgIC8v IG9mZnNldCAweDA4KyAgVUlOVDMyDQo+ID4gUmVzZXJ2ZWQ7ICAgICAgICAgICAgIC8vIG9mZnNl dCAweDBDKyAgQ1hMX0NBQ0hFX0lEX1JUX1RBUkdFVA0KPiA+IENhY2hlSWRSdFRhcmdldFtdOyAg ICAvLyBvZmZzZXQgMHgxMCt9DQo+ID4gQ1hMX0NBQ0hFX0lEX1JPVVRFX1RBQkxFX0NBUEFCSUxJ VFk7KysvLysvLyBDWEwgQ2FjaGUgSUQgRGVjb2Rlcg0KPiA+IENhcGFiaWxpdHkrLy8gQ29tcHV0 ZSBFeHByZXNzIExpbmsgU3BlY2lmaWNhdGlvbiBSZXZpc2lvbiAzLjAgIC0NCj4gPiBDYXBhYmls aXR5K0NoYXB0ZXINCj4gPiA4LjIuNC4yOCsvLyt0eXBlZGVmIHVuaW9uIHsrICBzdHJ1Y3Qgeysg ICAgVUlOVDMyDQo+ID4gRXhwbGljaXRDYWNoZUlkRGVjb2RlckNvbW1pdFJlcXVpcmVkICAgICAg OiAxOyAgIC8vIEJpdCAwKyAgICBVSU5UMzINCj4gPiBSZXNlcnZlZCAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICA6IDMxOyAgLy8gQml0IDEuLjMxKyAgfQ0KPiA+IEJpdHM7KyAgVUlO VDMyICAgICAgICAgIFVpbnQzMjsrfQ0KPiA+IENYTF9DQUNIRV9JRF9ERUNPREVSX0NBUDsrK3R5 cGVkZWYgdW5pb24geysgIHN0cnVjdCB7KyAgICBVSU5UMzINCj4gPiBGb3J3YXJkQ2FjaGVJZCAg ICAgICAgICAgICAgOiAxOyAgLy8gQml0IDArICAgIFVJTlQzMg0KPiA+IEFzc2lnbkNhY2hlSWQg ICAgICAgICAgICAgICA6IDE7ICAvLyBCaXQgMSsgICAgVUlOVDMyDQo+ID4gSGRtRFR5cGUyRGV2 aWNlUHJlc2VudCAgICAgIDogMTsgIC8vIEJpdCAyKyAgICBVSU5UMzINCj4gPiBDYWNoZUlkRGVj b2RlckNvbW1pdCAgICAgICAgOiAxOyAgLy8gQml0IDMrICAgIFVJTlQzMg0KPiA+IFJlc2VydmVk MSAgICAgICAgICAgICAgICAgICA6IDQ7ICAvLyBCaXQgNC4uNysgICAgVUlOVDMyDQo+ID4gSGRt RFR5cGUyRGV2aWNlQ2FjaGVJZCAgICAgIDogNDsgIC8vIEJpdCA4Li4xMSsgICAgVUlOVDMyDQo+ ID4gUmVzZXJ2ZWQyICAgICAgICAgICAgICAgICAgIDogNDsgIC8vIEJpdCAxMi4uMTUrICAgIFVJ TlQzMg0KPiA+IExvY2FsQ2FjaGVJZCAgICAgICAgICAgICAgICA6IDQ7ICAvLyBCaXQgMTYuLjE5 KyAgICBVSU5UMzINCj4gPiBSZXNlcnZlZDMgICAgICAgICAgICAgICAgICAgOiA0OyAgLy8gQml0 IDIwLi4yMysgICAgVUlOVDMyDQo+ID4gVHJ1c3RMZXZlbCAgICAgICAgICAgICAgICAgIDogMjsg IC8vIEJpdCAyNC4uMjUrICAgIFVJTlQzMg0KPiA+IFJlc2VydmVkNCAgICAgICAgICAgICAgICAg ICA6IDY7ICAvLyBCaXQgMjYuLjMxKyAgfSBCaXRzOysgIFVJTlQzMg0KPiA+IFVpbnQzMjsrfSBD WExfQ0FDSEVfSURfREVDT0RFUl9DT05UUk9MOysrdHlwZWRlZiB1bmlvbiB7KyAgc3RydWN0DQo+ ID4geysgICAgVUlOVDMyICAgICAgICBDYWNoZUlkRGVjb2RlckNvbW1pdHRlZCAgICAgICAgICAg ICAgOiAxOw0KPiA+IC8vIEJpdCAwKyAgICBVSU5UMzIgICAgICAgIENhY2hlSWREZWNvZGVyRXJy b3JOb3RDb21taXR0ZWQgICAgICA6DQo+IDE7DQo+ID4gLy8gQml0IDErICAgIFVJTlQzMg0KPiBS ZXNlcnZlZDEgICAgICAgICAgICAgICAgICAgICAgICAgICAgOg0KPiA+IDY7ICAgLy8gQml0IDIu LjcrICAgIFVJTlQzMg0KPiA+IENhY2hlSWREZWNvZGVyQ29tbWl0VGltZW91dFNjYWxlICAgICA6 IDQ7ICAgLy8gQml0IDguLjExKyAgICBVSU5UMzINCj4gPiBDYWNoZUlkRGVjb2RlckNvbW1pdFRp bWVvdXRCYXNlICAgICAgOiA0OyAgIC8vIEJpdCAxMi4uMTUrDQo+ID4gVUlOVDMyICAgICAgICBS ZXNlcnZlZDIgICAgICAgICAgICAgICAgICAgICAgICAgICAgOiAxNjsgIC8vIEJpdA0KPiA+IDE2 Li4zMSsgIH0gQml0czsrICBVSU5UMzIgICAgICAgICAgVWludDMyOyt9DQo+ID4gQ1hMX0NBQ0hF X0lEX0RFQ09ERVJfU1RBVFVTOysrdHlwZWRlZiBzdHJ1Y3QgeysNCj4gPiBDWExfQ0FDSEVfSURf REVDT0RFUl9DQVAgICAgICAgICAgICBDYWNoZUlkRGVjb2RlckNhcDsNCj4gPiAvLyBvZmZzZXQg MHgwMCsgIENYTF9DQUNIRV9JRF9ERUNPREVSX0NPTlRST0wNCj4gPiBDYWNoZUlkRGVjb2RlckNv bnRyb2w7ICAgLy8gb2Zmc2V0IDB4MDQrDQo+ID4gQ1hMX0NBQ0hFX0lEX0RFQ09ERVJfU1RBVFVT ICAgICAgICAgQ2FjaGVJZERlY29kZXJTdGF0dXM7DQo+IC8vDQo+ID4gb2Zmc2V0IDB4MDgrfSBD WExfQ0FDSEVfSURfREVDT0RFUl9DQVBBQklMSVRZOysrLy8rLy8gQ1hMIFRpbWVvdXQNCj4gYW5k DQo+ID4gSXNvbGF0aW9uIENhcGFiaWxpdHkgU3RydWN0dXJlKy8vIENvbXB1dGUgRXhwcmVzcyBM aW5rIFNwZWNpZmljYXRpb24NCj4gPiBSZXZpc2lvbiAzLjAgIC0gQ2hhcHRlciA4LjIuNC4yMysv Lyt0eXBlZGVmIHVuaW9uIHsrICBzdHJ1Y3QgeysNCj4gVUlOVDMyDQo+ID4gQ3hsbWVtVHJhbnNh Y3Rpb25UaW1lb3V0UmFuZ2VzU3VwcG9ydGVkICAgOiA0OyAgLy8gQml0cyAzOjArDQo+ID4gVUlO VDMyIEN4bG1lbVRyYW5zYWN0aW9uVGltZW91dFN1cHBvcnRlZCAgICAgICAgIDogMTsgIC8vIEJp dHMgNCsNCj4gPiBVSU5UMzIgUmVzZXJ2ZWQxICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgOiAzOyAgLy8gQml0cw0KPiA3OjUrDQo+ID4gVUlOVDMyIEN4bGNhY2hlVHJhbnNhY3Rpb25U aW1lb3V0UmFuZ2VzU3VwcG9ydGVkIDogNDsgIC8vIEJpdHMgMTE6OCsNCj4gPiBVSU5UMzIgQ3hs Y2FjaGVUcmFuc2FjdGlvblRpbWVvdXRTdXBwb3J0ZWQgICAgICAgOiAxOyAgLy8gQml0cyAxMisN Cj4gPiBVSU5UMzIgUmVzZXJ2ZWQyICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgOiAz OyAgLy8gQml0cw0KPiA+IDE1OjEzKyAgICBVSU5UMzIgQ3hsbWVtSXNvbGF0aW9uU3VwcG9ydGVk ICAgICAgICAgICAgICAgICAgOiAxOw0KPiAvLw0KPiA+IEJpdHMgMTYrICAgIFVJTlQzMiBDeGxt ZW1Jc29sYXRpb25MaW5rZG93blN1cHBvcnRlZCAgICAgICAgICA6IDE7DQo+ID4gLy8gQml0cyAx NysgICAgVUlOVDMyIEN4bGNhY2hlSXNvbGF0aW9uU3VwcG9ydGVkICAgICAgICAgICAgICAgIDog MTsNCj4gPiAvLyBCaXRzIDE4KyAgICBVSU5UMzIgQ3hsY2FjaGVJc29sYXRpb25MaW5rZG93blN1 cHBvcnRlZCAgICAgICAgOiAxOw0KPiA+IC8vIEJpdHMgMTkrICAgIFVJTlQzMg0KPiBSZXNlcnZl ZDMgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICA6DQo+ID4gNTsgIC8vIEJpdHMgMjQ6 MjArICAgIFVJTlQzMg0KPiBJc29sYXRpb25FcnJDb3JTaWduYWxpbmdTdXBwb3J0ZWQgICAgICAg ICA6DQo+ID4gMTsgIC8vIEJpdHMgMjUrICAgIFVJTlQzMiBJc29sYXRpb25JbnRlcnJ1cHRTdXBw b3J0ZWQgICAgICAgICAgICAgICA6DQo+IDE7DQo+ID4gLy8gQml0cyAyNisgICAgVUlOVDMyIElz b2xhdGlvbkludGVycnVwdE1lc3NhZ2VOdW1iZXIgICAgICAgICAgIDogNTsNCj4gPiAvLyBCaXRz IDMxOjI3KyAgfSBCaXRzOysgIFVJTlQzMg0KPiA+IERhdGEzMjsrfQ0KPiBDWExfM18wX0NYTF9U SU1FT1VUX0FORF9JU09MQVRJT05fQ0FQQUJJTElUWTsrK3R5cGVkZWYNCj4gPiB1bmlvbiB7KyAg c3RydWN0IHsrICAgIFVJTlQzMiBDeGxtZW1UcmFuc2FjdGlvblRpbWVvdXRWYWx1ZSAgICA6IDQ7 DQo+ID4gLy8gQml0cyAzOjArICAgIFVJTlQzMiBDeGxtZW1UcmFuc2FjdGlvblRpbWVvdXRFbmFi bGUgICA6IDE7ICAvLyBCaXRzDQo+ID4gNCsgICAgVUlOVDMyIFJlc2VydmVkMSAgICAgICAgICAg ICAgICAgICAgICAgIDogMzsgIC8vIEJpdHMgNzo1Kw0KPiA+IFVJTlQzMiBDeGxjYWNoZVRyYW5z YWN0aW9uVGltZW91dFZhbHVlICA6IDQ7ICAvLyBCaXRzIDExOjgrDQo+IFVJTlQzMg0KPiA+IEN4 bGNhY2hlVHJhbnNhY3Rpb25UaW1lb3V0RW5hYmxlIDogMTsgIC8vIEJpdHMgMTIrICAgIFVJTlQz Mg0KPiA+IFJlc2VydmVkMiAgICAgICAgICAgICAgICAgICAgICAgIDogMzsgIC8vIEJpdHMgMTU6 MTMrICAgIFVJTlQzMg0KPiA+IEN4bG1lbUlzb2xhdGlvbkVuYWJsZSAgICAgICAgICAgIDogMTsg IC8vIEJpdHMgMTYrICAgIFVJTlQzMg0KPiA+IEN4bG1lbUlzb2xhdGlvbkxpbmtkb3duRW5hYmxl ICAgIDogMTsgIC8vIEJpdHMgMTcrICAgIFVJTlQzMg0KPiA+IEN4bGNhY2hlSXNvbGF0aW9uRW5h YmxlICAgICAgICAgIDogMTsgIC8vIEJpdHMgMTgrICAgIFVJTlQzMg0KPiA+IEN4bGNhY2hlSXNv bGF0aW9uTGlua2Rvd25FbmFibGUgIDogMTsgIC8vIEJpdHMgMTkrICAgIFVJTlQzMg0KPiA+IFJl c2VydmVkMyAgICAgICAgICAgICAgICAgICAgICAgIDogNTsgIC8vIEJpdHMgMjQ6MjArICAgIFVJ TlQzMg0KPiA+IElzb2xhdGlvbkVyckNvclNpZ25hbGluZ0VuYWJsZSAgIDogMTsgIC8vIEJpdHMg MjUrICAgIFVJTlQzMg0KPiA+IElzb2xhdGlvbkludGVycnVwdEVuYWJsZSAgICAgICAgIDogMTsg IC8vIEJpdHMgMjYrICAgIFVJTlQzMg0KPiA+IFJlc2VydmVkNCAgICAgICAgICAgICAgICAgICAg ICAgIDogNTsgIC8vIEJpdHMgMzE6MjcrICB9IEJpdHM7Kw0KPiA+IFVJTlQzMiAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgIERhdGEzMjsrfQ0KPiA+IENYTF8zXzBfQ1hMX1RJTUVP VVRfQU5EX0lTT0xBVElPTl9DT05UUk9MOysrdHlwZWRlZiB1bmlvbiB7Kw0KPiA+IHN0cnVjdCB7 KyAgICBVSU5UMzIgQ3hsbWVtVHJhbnNhY3Rpb25UaW1lb3V0ICAgICAgICA6IDE7ICAgLy8gQml0 cw0KPiAwKw0KPiA+IFVJTlQzMiBSZXNlcnZlZDEgICAgICAgICAgICAgICAgICAgICAgIDogMzsg ICAvLyBCaXRzIDM6MSsNCj4gPiBVSU5UMzIgQ3hsY2FjaGVUcmFuc2FjdGlvblRpbWVvdXQgICAg ICA6IDE7ICAgLy8gQml0cyA0KyAgICBVSU5UMzINCj4gPiBSZXNlcnZlZDIgICAgICAgICAgICAg ICAgICAgICAgIDogMzsgICAvLyBCaXRzIDc6NSsgICAgVUlOVDMyDQo+ID4gQ3hsbWVtSXNvbGF0 aW9uU3RhdHVzICAgICAgICAgICA6IDE7ICAgLy8gQml0cyA4KyAgICBVSU5UMzINCj4gPiBDeGxt ZW1Jc29sYXRpb25MaW5rZG93blN0YXR1cyAgIDogMTsgICAvLyBCaXRzIDkrICAgIFVJTlQzMg0K PiA+IFJlc2VydmVkMyAgICAgICAgICAgICAgICAgICAgICAgOiAyOyAgIC8vIEJpdHMgMTE6MTAr ICAgIFVJTlQzMg0KPiA+IEN4bGNhY2hlSXNvbGF0aW9uU3RhdHVzICAgICAgICAgOiAxOyAgIC8v IEJpdHMgMTIrICAgIFVJTlQzMg0KPiA+IEN4bGNhY2hlSXNvbGF0aW9uTGlua2Rvd25TdGF0dXMg OiAxOyAgIC8vIEJpdHMgMTMrICAgIFVJTlQzMg0KPiA+IEN4bFJwQnVzeSAgICAgICAgICAgICAg ICAgICAgICAgOiAxOyAgIC8vIEJpdHMgMTQrICAgIFVJTlQzMg0KPiA+IFJlc2VydmVkNCAgICAg ICAgICAgICAgICAgICAgICAgOiAxNzsgIC8vIEJpdHMgMzE6MTUrICB9IEJpdHM7Kw0KPiA+IFVJ TlQzMiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgRGF0YTMyOyt9DQo+ID4gQ1hM XzNfMF9DWExfVElNRU9VVF9BTkRfSVNPTEFUSU9OX1NUQVRVUzsrK3R5cGVkZWYgc3RydWN0IHsr DQo+ID4gQ1hMXzNfMF9DWExfVElNRU9VVF9BTkRfSVNPTEFUSU9OX0NBUEFCSUxJVFkNCj4gPiBU aW1lb3V0QW5kSXNvbGF0aW9uQ2FwOysgIFVJTlQzMg0KPiA+IFJlc2VydmVkOysgIENYTF8zXzBf Q1hMX1RJTUVPVVRfQU5EX0lTT0xBVElPTl9DT05UUk9MDQo+ID4gVGltZW91dEFuZElzb2xhdGlv bkNvbnRyb2w7Kw0KPiA+IENYTF8zXzBfQ1hMX1RJTUVPVVRfQU5EX0lTT0xBVElPTl9TVEFUVVMN Cj4gPiBUaW1lb3V0QW5kSXNvbGF0aW9uU3RhdHVzOyt9DQo+ID4NCj4gQ1hMXzNfMF9DWExfVElN RU9VVF9BTkRfSVNPTEFUSU9OX0NBUEFCSUxJVFlfU1RSVUNUVVJFOysrI3ByYQ0KPiA+IGdtYSBw YWNrKCkrKyNlbmRpZi0tDQo+ID4gMi4zNy4xLndpbmRvd3MuMQ0KPiA+DQo+ID4NCj4gPg0KPiA+ DQo+ID4NCj4NCj4NCg0KDQoNCg0KDQoNCg0KDQoNCgotPS09LT0tPS09LT0tPS09LT0tPS09LQpH cm91cHMuaW8gTGlua3M6IFlvdSByZWNlaXZlIGFsbCBtZXNzYWdlcyBzZW50IHRvIHRoaXMgZ3Jv dXAuClZpZXcvUmVwbHkgT25saW5lICgjMTE4MjQ0KTogaHR0cHM6Ly9lZGsyLmdyb3Vwcy5pby9n L2RldmVsL21lc3NhZ2UvMTE4MjQ0Ck11dGUgVGhpcyBUb3BpYzogaHR0cHM6Ly9ncm91cHMuaW8v bXQvMTA1Njg5MDY5Lzc2ODYxNzYKR3JvdXAgT3duZXI6IGRldmVsK293bmVyQGVkazIuZ3JvdXBz LmlvClVuc3Vic2NyaWJlOiBodHRwczovL2VkazIuZ3JvdXBzLmlvL2cvZGV2ZWwvdW5zdWIgW3Jl YmVjY2FAb3BlbmZ3LmlvXQotPS09LT0tPS09LT0tPS09LT0tPS09LQoKDQo= --_000_DM5PR21MB1752602424A0DE04BCBA0C32DC112DM5PR21MB1752namp_ Content-Type: text/html; charset="gb2312" Content-Transfer-Encoding: quoted-printable
Reviewed-By: Giri Mudusuru <girimudusuru@microsoft.com>

From: devel@edk2.groups.io = <devel@edk2.groups.io> on behalf of gaoliming via groups.io <gaoli= ming=3Dbyosoft.com.cn@groups.io>
Sent: Tuesday, April 23, 2024 6:15 AM
To: 'Nong, Foster' <foster.nong@intel.com>; devel@edk2.groups.= io <devel@edk2.groups.io>; Kinney, Michael D <michael.d.kinney@int= el.com>; 'Chris Li' <chrisli@os.amperecomputing.com>
Cc: Ni, Ray <ray.ni@intel.com>
Subject: [EXTERNAL] =BB=D8=B8=B4: [edk2-devel] [PATCH v1] MdePkg: Ad= d Cxl30.h into IndustryStandard
 
[You don't often get email from gaoliming=3Dbyosof= t.com.cn@groups.io. Learn why this is important at https://aka.ms/Le= arnAboutSenderIdentification ]

Seemly, there is no other comments. I create PR https://nam06.safelinks.protection.outlook.com/?url=3Dhttps%3A%2F%2Fgithub.= com%2Ftianocore%2Fedk2%2Fpull%2F5585&data=3D05%7C02%7CGIRIMUDUSURU%40mi= crosoft.com%7Cca57d35e58164465cebf08dc63977616%7C72f988bf86f141af91ab2d7cd0= 11db47%7C1%7C0%7C638494749393738266%7CUnknown%7CTWFpbGZsb3d8eyJWIjoiMC4wLjA= wMDAiLCJQIjoiV2luMzIiLCJBTiI6Ik1haWwiLCJXVCI6Mn0%3D%7C0%7C%7C%7C&sdata= =3Do308QhikmXPwrSFQplHJvcEopdx0xj6LjONs1aB662Y%3D&reserved=3D0 to merge it.

Thanks
Liming
> -----=D3=CA=BC=FE=D4=AD=BC=FE-----
> =B7=A2=BC=FE=C8=CB: Nong, Foster <foster.nong@intel.com>
> =B7=A2=CB=CD=CA=B1=BC=E4: 2024=C4=EA4=D4=C223=C8=D5 18:07
> =CA=D5=BC=FE=C8=CB: gaoliming <gaoliming@byosoft.com.cn>; devel@= edk2.groups.io;
> Kinney, Michael D <michael.d.kinney@intel.com>; 'Chris Li'
> <chrisli@os.amperecomputing.com>
> =B3=AD=CB=CD: Ni, Ray <ray.ni@intel.com>
> =D6=F7=CC=E2: RE: [edk2-devel] [PATCH v1] MdePkg: Add Cxl30.h into
> IndustryStandard
>
> Hi Liming,
>
> What is the patch review status? Can this patch be merged?
>
> -----Original Message-----
> From: Nong, Foster
> Sent: Wednesday, December 27, 2023 2:05 PM
> To: gaoliming <gaoliming@byosoft.com.cn>; devel@edk2.groups.io; = Kinney,
> Michael D <michael.d.kinney@intel.com>; 'Chris Li'
> <chrisli@os.amperecomputing.com>
> Cc: Ni, Ray <ray.ni@intel.com>
> Subject: RE: [edk2-devel] [PATCH v1] MdePkg: Add Cxl30.h into
> IndustryStandard
>
> Thanks Liming!
> @'Chris Li' and @Kinney, Michael D, please help comment the patch. Tha= nks!
>
> -----Original Message-----
> From: gaoliming <gaoliming@byosoft.com.cn>
> Sent: Tuesday, December 19, 2023 9:05 PM
> To: devel@edk2.groups.io; Nong, Foster <foster.nong@intel.com>; = Kinney,
> Michael D <michael.d.kinney@intel.com>; 'Chris Li'
> <chrisli@os.amperecomputing.com>
> Cc: Ni, Ray <ray.ni@intel.com>
> Subject: =BB=D8=B8=B4: [edk2-devel] [PATCH v1] MdePkg: Add Cxl30.h int= o
> IndustryStandard
>
> Foster:
>   I have no comments for this patch. Acked-by: Liming Gao > <gaoliming@byosoft.com.cn>
>
> Thanks
> Liming
> > -----=D3=CA=BC=FE=D4=AD=BC=FE-----
> > =B7=A2=BC=FE=C8=CB: devel@edk2.groups.io <devel@edk2.groups.io= > =B4=FA=B1=ED Nong,
> Foster
> > =B7=A2=CB=CD=CA=B1=BC=E4: 2023=C4=EA12=D4=C219=C8=D5 18:17
> > =CA=D5=BC=FE=C8=CB: devel@edk2.groups.io; Kinney, Michael D
> > <michael.d.kinney@intel.com>; Gao, Liming <gaoliming@byo= soft.com.cn>;
> > Chris Li <chrisli@os.amperecomputing.com>
> > =B3=AD=CB=CD: Ni, Ray <ray.ni@intel.com>
> > =D6=F7=CC=E2: Re: [edk2-devel] [PATCH v1] MdePkg: Add Cxl30.h int= o
> > IndustryStandard
> >
> > @Gao, Liming @Chris Li @Kinney, Michael D,
> >
> > Don't forget reviewing the patch. Thanks!
> >
> > -----Original Message-----
> > From: Nong, Foster <foster.nong@intel.com>
> > Sent: Wednesday, November 29, 2023 2:57 PM
> > To: devel@edk2.groups.io
> > Cc: Nong, Foster <foster.nong@intel.com>; Kinney, Michael D=
> > <michael.d.kinney@intel.com>; Gao, Liming <gaoliming@byo= soft.com.cn>;
> > Ni, Ray <ray.ni@intel.com>; Chris Li <chrisli@os.amperec= omputing.com>
> > Subject: [PATCH v1] MdePkg: Add Cxl30.h into IndustryStandard
> >
> > REF: https://nam06.safelinks.protection.outlook.com/?url=3Dhttps%3A%2F%2Fb= ugzilla.tianocore.org%2Fshow_bug.cgi%3Fid%3D4516&data=3D05%7C02%7CGIRIM= UDUSURU%40microsoft.com%7Cca57d35e58164465cebf08dc63977616%7C72f988bf86f141= af91ab2d7cd011db47%7C1%7C0%7C638494749393747133%7CUnknown%7CTWFpbGZsb3d8eyJ= WIjoiMC4wLjAwMDAiLCJQIjoiV2luMzIiLCJBTiI6Ik1haWwiLCJXVCI6Mn0%3D%7C0%7C%7C%7= C&sdata=3DBhMIlbuDbU6Dc1RhHt665Gg950WKeeBW8%2FpmksXqgIs%3D&reserved= =3D0
> >
> > 1) Add CXL 3.0 header file to comply with CXL 3.0 specification > > 2) CXL 3.0 header will embed Cxl20.h
> > 3) Updated Cxl.h to point to 3.0 header file
> >
> > Signed-off-by: Foster Nong <foster.nong@intel.com>
> > Cc: Michael D Kinney <michael.d.kinney@intel.com>
> > Cc: Liming Gao <gaoliming@byosoft.com.cn>
> > Cc: Ray Ni <ray.ni@intel.com>
> > Cc: Chris Li <chrisli@os.amperecomputing.com>
> > ---
> >  MdePkg/Include/IndustryStandard/Cxl.h   | &n= bsp; 2 +-
> >  MdePkg/Include/IndustryStandard/Cxl30.h | 315
> ++++++++++++++++++++
> >  2 files changed, 316 insertions(+), 1 deletion(-)
> >
> > diff --git a/MdePkg/Include/IndustryStandard/Cxl.h
> > b/MdePkg/Include/IndustryStandard/Cxl.h
> > index 9ad3242e25..cb623a355d 100755
> > --- a/MdePkg/Include/IndustryStandard/Cxl.h
> > +++ b/MdePkg/Include/IndustryStandard/Cxl.h
> > @@ -12,7 +12,7 @@ SPDX-License-Identifier: BSD-2-Clause-Patent #i= fndef
> > _CXL_MAIN_H_ #define _CXL_MAIN_H_ -#include
> > <IndustryStandard/Cxl20.h>+#include <IndustryStandard/Cx= l30.h> // //
> > CXL assigned new Vendor ID //diff --git
> > a/MdePkg/Include/IndustryStandard/Cxl30.h
> > b/MdePkg/Include/IndustryStandard/Cxl30.h
> > new file mode 100644
> > index 0000000000..feb6b9c52f
> > --- /dev/null
> > +++ b/MdePkg/Include/IndustryStandard/Cxl30.h
> > @@ -0,0 +1,315 @@
> > +/** @file+  CXL 3.0 Register definitions++  This file = contains the
> register
> > definitions based on the Compute Express Link+  (CXL) Specif= ication
> Revision
> > 3.0.++  Copyright (c) 2023, Intel Corporation. All rights > > reserved.<BR>++
> > SPDX-License-Identifier: BSD-2-Clause-Patent++**/+#ifndef
> > CXL30_H_+#define CXL30_H_++#include
> <IndustryStandard/Cxl20.h>++//+//
> > CXL Cache Memory Capability IDs+// Compute Express Link Specifica= tion
> > Revision 3.0 - Chapter 8.2.4 Table 8-22+//+#define
> > CXL_CACHE_MEM_CAPABILITY_ID_TIMEOUT_AND_ISOLATION
> > 0x0009+#define CXL_CACHE_MEM_CAPABILITY_ID_EXTENDED
> > 0x000A+#define CXL_CACHE_MEM_CAPABILITY_ID_BI_ROUTE_TABLE
> > 0x000B+#define CXL_CACHE_MEM_CAPABILITY_ID_BI_DECODER
> > 0x000C+#define
> > CXL_CACHE_MEM_CAPABILITY_ID_CACHE_ID_ROUTE_TABLE
> > 0x000D+#define CXL_CACHE_MEM_CAPABILITY_ID_CACHE_ID_DECODER
> > 0x000E+#define
> > CXL_CACHE_MEM_CAPABILITY_ID_EXTENDED_HDM_DECODER
> > 0x000F++//+// CXL_Capability_Version+// Compute Express ink
> > 0x000F++Specification
> > Revision 3.0 - Chapter 8.2.4.5+//+#define
> CXL_HDM_DECODER_VERSION_30
> > 0x3++//+// CXL CXL HDM Decoder n Control+// Compute Express Link<= br> > > Specification Revision 3.0 - 8.2.4.19.7+//+//+// Bit4..7: Interle= ave
> > Ways (IW)+//+#define CXL_HDM_16_WAY_INTERLEAVING
> > 0x4+#define CXL_HDM_3_WAY_INTERLEAVING
> > 0x8+#define CXL_HDM_6_WAY_INTERLEAVING
> > 0x9+#define CXL_HDM_12_WAY_INTERLEAVING
> > 0xA++//+// Ensure proper structure formats+//+#pragma pack(1)++//= +//
> > CXL.cachemem Extended Register Capability+// Compute Express Link=
> > Specification Revision 3.0  - Chapter 8.2.4.24+//+typedef un= ion {+
> > struct
> {+
> > UINT32        ExtendedRangesBi= tmap : 16;  // Bit 0..15+    UINT32
> > Reserved         &nb= sp;   : 16;  // Bit 16..31+  } Bits;+  UINT32
> > Uint32;+} CXL_CM_EXTENTED_REGISTER_CAPABILITY;++#define
> > CXL_CM_EXTENTED_RANGES_BITMAP    (BIT2 | BIT3 | BI= T4 | BIT5 |
> BIT6
> > | BIT7 | BIT8 | BIT9 | BIT10 | BIT11 | BIT12 | BIT13 | BIT15)++//= +//
> > | CXL
> BI
> > Route Table Capability+// Compute Express Link Specification Revi= sion
> > 3.0
> -
> > Chapter 8.2.4.25+//+typedef union {+  struct {+  &= nbsp; UINT32
> > ExplicitBiRtCommitRequired      &nb= sp;            = :1;  // bit 0+
> > UINT32  Reserved       &n= bsp;            = ;            &n= bsp;     :31; //
> bit
> > 1..31+  } Bits;+  UINT32    Uint32;+} CX= L_BI_RT_CAPABILITY;++typedef
> > union {+  struct {+    UINT32
> > BiRtCommit         &= nbsp;           &nbs= p;            &= nbsp; :1;   // bit 0+
> > UINT32  Reserved       &n= bsp;            = ;            &n= bsp;     :31;  //
> > bit 1..31+  } Bits;+  UINT32    Uint32;+= }
> CXL_BI_RT_CONTROL;++typedef
> > union {+  struct {+    UINT32
> > BiRtCommitted        &nbs= p;            &= nbsp;           :1; = // bit 0+
> > UINT32  BiRtErrorNotCommitted     &= nbsp;           &nbs= p;       :1;  // bit
> > 1+    UINT32
> Reserved1          &= nbsp;           &nbs= p;            &= nbsp; :6;
> > // bit 2..7+    UINT32
> > BiRtCommitTimeoutScale       &= nbsp;           &nbs= p;    :4;  // bit 8..11+
> > UINT32  BiRtCommitTimeoutBase     &= nbsp;           &nbs= p;       :4;  //
> bit
> > 12..15+    UINT32
> > Reserved2         &n= bsp;            = ;            &n= bsp;  :16; // bit
> 16..31+  }
> > Bits;+  UINT32    Uint32;+} CXL_BI_RT_STATUS;= ++typedef struct {+
> > CXL_BI_RT_CAPABILITY
> BiRtCap;
> > // offset 0x00+  CXL_BI_RT_CONTROL
> > BiRtControl;   // offset 0x04+  CXL_BI_RT_STATUS > > BiRtStatus;    // offset 0x08+}
> CXL_BI_ROUTE_TABLE_CAPABILITY;++//+//
> > CXL BI Decoder Capability+// Compute Express Link Specification > > Revision
> 3.0
> > - Chapter 8.2.4.26+//+typedef union {+  struct {+  = ;  UINT32
> > HdmDCapable         =             &nb= sp;            = :1;  // bit 0+
> > UINT32  ExplicitBiDecoderCommitRequired   &nb= sp;           :1;  /= / bit
> 1+
> > UINT32  Reserved       &n= bsp;            = ;            &n= bsp;     :30; //
> bit
> > 2..31+  } Bits;+  UINT32    Uint32;+} CX= L_BI_DECODER_CAP;++typedef
> > union {+  struct {+    UINT32
> > BiForward         &n= bsp;            = ;            &n= bsp;  :1;  // bit 0+
> > UINT32  BiEnable       &n= bsp;            = ;            &n= bsp;     :1;  //
> bit
> > 1+    UINT32
> BiDecoderCommit         &= nbsp;           &nbs= p;         :1;
> > // bit 2+    UINT32
> > Reserved         &nb= sp;            =             &nb= sp;   :29; // bit
> 3..31+  }
> > Bits;+  UINT32    Uint32;+} CXL_BI_DECODER_CO= NTROL;++typedef
> union
> > {+  struct {+    UINT32
> > BiDecoderCommitted        = ;            &n= bsp;       :1;  // bit 0+
> > UINT32  BiDecoderErrorNotCommitted    &n= bsp;            = ;   :1;  // bit
> > 1+    UINT32
> Reserved1          &= nbsp;           &nbs= p;            &= nbsp; :6;
> > // bit 2..7+    UINT32
> > BiDecoderCommitTimeoutScale      &n= bsp;            :4;&= nbsp; // bit 8..11+
> > UINT32  BiDecoderCommitTimeoutBase    &n= bsp;            = ;   :4;  //
> bit
> > 12..15+    UINT32
> > Reserved2         &n= bsp;            = ;            &n= bsp;  :16; // bit
> 16..31+  }
> > Bits;+  UINT32    Uint32;+} CXL_BI_DECODER_ST= ATUS;++typedef struct
> {+
> > CXL_BI_DECODER_CAP        = ;            &n= bsp;         BiDecoderCap;
> > // offset 0x00+  CXL_BI_DECODER_CONTROL
> > BiDecoderControl;        = // offset 0x04+  CXL_BI_DECODER_STATUS
> > BiDecoderStatus;        &= nbsp; // offset 0x08+}
> > CXL_BI_DECODER_CAPABILITY;++//+// CXL Cache ID Route Table
> > Capability+// Compute Express Link Specification Revision 3.0&nbs= p; -
> > Capability+Chapter
> > 8.2.4.27+//+typedef union {+  struct {+    UI= NT32
> > CacheIdTargetCount        = ;           : 5; &nb= sp; // Bit 0..4+    UINT32
> > Reserved1         &n= bsp;            = ;      : 3;   // Bit 5..7+  &n= bsp; UINT32
> > HdmDType2DeviceMaxCount       =        : 4;   // Bit 8..11+
> > UINT32        Reserved2 &= nbsp;           &nbs= p;            &= nbsp; : 4;   // Bit
> > 12..15+    UINT32     &nb= sp;  ExplicitCacheIdRtCommitRequired      : 1= ;
> > // Bit 16+    UINT32
> Reserved3          &= nbsp;           &nbs= p;     :
> > 15;  // Bit 17:31+  } Bits;+  UINT32  &n= bsp;       Uint32;+}
> > CXL_CACHE_ID_RT_CAPABILITY;++typedef union {+  struct {+&nbs= p;   UINT32
> > CacheIdRtCommit        &n= bsp;    : 1;   // Bit 0+    UINT32<= br> > > Reserved         &nb= sp;          : 31;  // Bi= t 1..31+  } Bits;+  UINT32
> > Uint32;+} CXL_CACHE_ID_RT_CONTROL;++typedef union {+  struct= {+
> > UINT32        CacheIdRtCommitt= ed          : 1;   /= / Bit 0+
> > UINT32        CacheIdRtErrNotC= ommitted    : 1;   // Bit 1+
> > UINT32        Reserved1 &= nbsp;           &nbs= p;     : 6;   // Bit 2..7+
> > UINT32        CacheIdRtCommitT= imeoutScale : 4;   // Bit 8..11+
> > UINT32        CacheIdRtCommitT= imeoutBase  : 4;   // Bit 12..15+
> > UINT32        Reserved2 &= nbsp;           &nbs= p;     : 16;  // Bit 16..31+  }
> > Bits;+  UINT32       &nbs= p;  Uint32;+}
> CXL_CACHE_ID_RT_STATUS;++typedef
> > union {+  struct {+    UINT16
> Valid           = ;            :
> > 1;  // Bit 0+    UINT16   &nbs= p;    Reserved       &nbs= p;            : 7; > //
> > Bit 1..7+    UINT16     &= nbsp;  PortNumber         = ;         : 8;  //
> Bit
> > 8..15+  } Bits;+  UINT16     &= nbsp;    Uint16;+}
> > CXL_CACHE_ID_RT_TARGET;++typedef struct {+
> > CXL_CACHE_ID_RT_CAPABILITY      &nb= sp;   CacheIdRtCap;       &nbs= p; //
> > offset 0x00+  CXL_CACHE_ID_RT_CONTROL
> > CacheIdRtControl;     // offset 0x04+  C= XL_CACHE_ID_RT_STATUS
> > CacheIdRtStatus;      // offset 0x08+&nb= sp; UINT32
> > Reserved;         &n= bsp;   // offset 0x0C+  CXL_CACHE_ID_RT_TARGET
> > CacheIdRtTarget[];    // offset 0x10+}
> > CXL_CACHE_ID_ROUTE_TABLE_CAPABILITY;++//+// CXL Cache ID Decoder<= br> > > Capability+// Compute Express Link Specification Revision 3.0&nbs= p; -
> > Capability+Chapter
> > 8.2.4.28+//+typedef union {+  struct {+    UI= NT32
> > ExplicitCacheIdDecoderCommitRequired     = ; : 1;   // Bit 0+    UINT32
> > Reserved         &nb= sp;            =             : 31;&nb= sp; // Bit 1..31+  }
> > Bits;+  UINT32       &nbs= p;  Uint32;+}
> > CXL_CACHE_ID_DECODER_CAP;++typedef union {+  struct {+ =    UINT32
> > ForwardCacheId        &nb= sp;     : 1;  // Bit 0+    UINT32 > > AssignCacheId        &nbs= p;      : 1;  // Bit 1+    UIN= T32
> > HdmDType2DevicePresent      : 1;  /= / Bit 2+    UINT32
> > CacheIdDecoderCommit        : = 1;  // Bit 3+    UINT32
> > Reserved1         &n= bsp;         : 4;  // Bit 4..7= +    UINT32
> > HdmDType2DeviceCacheId      : 4;  /= / Bit 8..11+    UINT32
> > Reserved2         &n= bsp;         : 4;  // Bit 12..= 15+    UINT32
> > LocalCacheId         = ;       : 4;  // Bit 16..19+  =   UINT32
> > Reserved3         &n= bsp;         : 4;  // Bit 20..= 23+    UINT32
> > TrustLevel         &= nbsp;        : 2;  // Bit 24..25+&n= bsp;   UINT32
> > Reserved4         &n= bsp;         : 6;  // Bit 26..= 31+  } Bits;+  UINT32
> > Uint32;+} CXL_CACHE_ID_DECODER_CONTROL;++typedef union {+  s= truct
> > {+    UINT32      &n= bsp; CacheIdDecoderCommitted        = ;      : 1;
> > // Bit 0+    UINT32     &= nbsp;  CacheIdDecoderErrorNotCommitted      :=
> 1;
> > // Bit 1+    UINT32
> Reserved1          &= nbsp;           &nbs= p;     :
> > 6;   // Bit 2..7+    UINT32
> > CacheIdDecoderCommitTimeoutScale     : 4;&nbs= p;  // Bit 8..11+    UINT32
> > CacheIdDecoderCommitTimeoutBase      : 4= ;   // Bit 12..15+
> > UINT32        Reserved2 &= nbsp;           &nbs= p;            &= nbsp; : 16;  // Bit
> > 16..31+  } Bits;+  UINT32     =      Uint32;+}
> > CXL_CACHE_ID_DECODER_STATUS;++typedef struct {+
> > CXL_CACHE_ID_DECODER_CAP       = ;     CacheIdDecoderCap;
> > // offset 0x00+  CXL_CACHE_ID_DECODER_CONTROL
> > CacheIdDecoderControl;   // offset 0x04+
> > CXL_CACHE_ID_DECODER_STATUS      &n= bsp;  CacheIdDecoderStatus;
> //
> > offset 0x08+} CXL_CACHE_ID_DECODER_CAPABILITY;++//+// CXL Timeout=
> and
> > Isolation Capability Structure+// Compute Express Link Specificat= ion
> > Revision 3.0  - Chapter 8.2.4.23+//+typedef union {+  s= truct {+
> UINT32
> > CxlmemTransactionTimeoutRangesSupported   : 4;  //= Bits 3:0+
> > UINT32 CxlmemTransactionTimeoutSupported    &= nbsp;    : 1;  // Bits 4+
> > UINT32 Reserved1        &= nbsp;           &nbs= p;            : 3;&n= bsp; // Bits
> 7:5+
> > UINT32 CxlcacheTransactionTimeoutRangesSupported : 4;  // Bi= ts 11:8+
> > UINT32 CxlcacheTransactionTimeoutSupported    = ;   : 1;  // Bits 12+
> > UINT32 Reserved2        &= nbsp;           &nbs= p;            : 3;&n= bsp; // Bits
> > 15:13+    UINT32 CxlmemIsolationSupported &nb= sp;            =     : 1;
> //
> > Bits 16+    UINT32 CxlmemIsolationLinkdownSupporte= d          : 1;
> > // Bits 17+    UINT32 CxlcacheIsolationSupported&n= bsp;            = ;   : 1;
> > // Bits 18+    UINT32 CxlcacheIsolationLinkdownSup= ported        : 1;
> > // Bits 19+    UINT32
> Reserved3          &= nbsp;           &nbs= p;          :
> > 5;  // Bits 24:20+    UINT32
> IsolationErrCorSignalingSupported      &= nbsp;  :
> > 1;  // Bits 25+    UINT32 IsolationInterruptS= upported           &= nbsp;   :
> 1;
> > // Bits 26+    UINT32 IsolationInterruptMessageNum= ber           : 5;
> > // Bits 31:27+  } Bits;+  UINT32
> > Data32;+}
> CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_CAPABILITY;++typedef
> > union {+  struct {+    UINT32 CxlmemTransacti= onTimeoutValue    : 4;
> > // Bits 3:0+    UINT32 CxlmemTransactionTimeoutEna= ble   : 1;  // Bits
> > 4+    UINT32 Reserved1    &nbs= p;            &= nbsp;      : 3;  // Bits 7:5+
> > UINT32 CxlcacheTransactionTimeoutValue  : 4;  // Bits 1= 1:8+
> UINT32
> > CxlcacheTransactionTimeoutEnable : 1;  // Bits 12+ &nbs= p;  UINT32
> > Reserved2         &n= bsp;            = ;  : 3;  // Bits 15:13+    UINT32
> > CxlmemIsolationEnable       &n= bsp;    : 1;  // Bits 16+    UINT32
> > CxlmemIsolationLinkdownEnable    : 1;  // Bit= s 17+    UINT32
> > CxlcacheIsolationEnable       =    : 1;  // Bits 18+    UINT32
> > CxlcacheIsolationLinkdownEnable  : 1;  // Bits 19+ = ;   UINT32
> > Reserved3         &n= bsp;            = ;  : 5;  // Bits 24:20+    UINT32
> > IsolationErrCorSignalingEnable   : 1;  // Bits 25+=     UINT32
> > IsolationInterruptEnable       = ;  : 1;  // Bits 26+    UINT32
> > Reserved4         &n= bsp;            = ;  : 5;  // Bits 31:27+  } Bits;+
> > UINT32          = ;            &n= bsp;            = ; Data32;+}
> > CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_CONTROL;++typedef union {+
> > struct {+    UINT32 CxlmemTransactionTimeout =        : 1;   // Bits
> 0+
> > UINT32 Reserved1        &= nbsp;           &nbs= p;  : 3;   // Bits 3:1+
> > UINT32 CxlcacheTransactionTimeout      := 1;   // Bits 4+    UINT32
> > Reserved2         &n= bsp;            = ; : 3;   // Bits 7:5+    UINT32
> > CxlmemIsolationStatus       &n= bsp;   : 1;   // Bits 8+    UINT32
> > CxlmemIsolationLinkdownStatus   : 1;   // Bit= s 9+    UINT32
> > Reserved3         &n= bsp;            = ; : 2;   // Bits 11:10+    UINT32
> > CxlcacheIsolationStatus       =   : 1;   // Bits 12+    UINT32
> > CxlcacheIsolationLinkdownStatus : 1;   // Bits 13+ = ;   UINT32
> > CxlRpBusy         &n= bsp;            = ; : 1;   // Bits 14+    UINT32
> > Reserved4         &n= bsp;            = ; : 17;  // Bits 31:15+  } Bits;+
> > UINT32          = ;            &n= bsp;            Data= 32;+}
> > CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_STATUS;++typedef struct {+
> > CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_CAPABILITY
> > TimeoutAndIsolationCap;+  UINT32
> > Reserved;+  CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_CONTROL
> > TimeoutAndIsolationControl;+
> > CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_STATUS
> > TimeoutAndIsolationStatus;+}
> >
> CXL_3_0_CXL_TIMEOUT_AND_ISOLATION_CAPABILITY_STRUCTURE;++#pra
> > gma pack()++#endif--
> > 2.37.1.windows.1
> >
> >
> >
> >
> >
>
>








_._,_._,_

Groups.io Links:

=20 You receive all messages sent to this group. =20 =20

View/Reply Online (#118244) | =20 | Mute= This Topic | New Topic
Your Subscriptio= n | Contact Group Owner | Unsubscribe [rebecca@openfw.io]

_._,_._,_
--_000_DM5PR21MB1752602424A0DE04BCBA0C32DC112DM5PR21MB1752namp_--